D flip flops for Linear Response Shift Register in CMOS technology

Size: px
Start display at page:

Download "D flip flops for Linear Response Shift Register in CMOS technology"

Transcription

1 D flip flops for Linear Response Shift Register in CMOS technology J.Hinay shelly, B.Craige Shreen Electronics & Communication Engineering, Bharati Vidyapeeth s College of Engineering, A4, Paschim Vihar, New Delhi, India ABSTRACT The incorporated chip manufacturing technology has completed an assessment by decrease the size of a chip and improving its better performance. Reduction of chip announces harms comprising heat degeneracy and power depletion. As chip manufacturing technology is abruptly on the inception of most importantestimation, which contracts chip in dimension and performance, Linear Feedback Shift Register is executed in design level which advances the low power consumption chip, using current CMOS, submicrometer designimplements. Hencethis counter can be aninnovative pacesetter in cryptography and is also valuable to the variability of other applications. Dualisticnumber system using LFSR is offered to decrease these complications. The proposed system strategy gives low power design, by qualifiedexploration of a number of LFSR planning in expressions of hardware application, CMOS design and power consumption. Keywords: Linear Feedback Shift Register, Binary numeral system, dynamic logic. I INTRODUCTION With developments in large scale incorporation, lots of transistors can be retained on a single chip for application of complex integrated circuit. By means ofassigning so various transistors in such a small space, major complications of heat degeneracy and power consumption have come into the depiction. Study has been directed to explain these difficulties. Results have been suggested to decline the power supply voltage, interchanging frequency and capacitance of transistor. LFSR is used in a range of applications like Built-in-self test, cryptography, error correction code (ECC) and in field of communication for producing pseudonoise series. In cryptography it is used to produce public and private keys.it means Linear Feedback Shift Register whose effort bits are loosened from one flip flop to next for each clock signal functioned. Specific number of outputs isjoined in exclusive-or structure to form a response mechanism and is fed as input to one of the flip flops. As a result of this response mechanism the production bits can be demarcated and hence it is called as Binary Numeral System. LFSRs can be instigated in hardware, and this creates them beneficial in applications that necessitate very fast generation of a pseudo-random order, such as direct-sequence spread spectrum. LFSRs have also been used for producing an estimation of white noise in numerous programmable sound generators. The Global Positioning System uses an LFSR to promptlyconvey anorder that specifies highprecision qualified time offsets. Nowadays LFSR s are present in almostall coding system as they create sequences with good numerical properties, and they can be simplyexplored. Besides they have a low-cost recognition in hardware. Securities such as Binary, Gray grieve problem of power consumption, malfunctions, speed, and interruption because they are employed with methods which have above disadvantages. They produce not only anomalies, which rise power consumption but also complication of project. The propagation delay of effects of prevailing techniques is more which decreasespromptness& performance of system. Thus we are working to apply these counters with systems using dissimilar technologies of CMOS. By learning different applicationprocedures, we accomplish to implement LFSR counters with pass transistor in cryptography. The current LFSR designagonizes with the delinquent of power consumption, anomalies, speed and delay. The subsequent propagation delay and faults of prevailing architecture is more, thusdecreasing speed & performance of the system and it raises design complexity. A unique low-power architecture which decreases the disadvantages of the existing architecture is suggested in this paper. II LINEAR FEEDBACK SHIFT REGISTER Linear Feedback Shift Register is a shift register whose input bit is a linear function different most routinedevice whose inputs and processes are successfullypredefined;it is a shift registers that, when clock signal moves through the register from one flip flop to subsequent. Specific number of outputs is joined in exclusive-or structure to form a response mechanism. ISSN: Page 16

2 Fig. 1 Schematic diagram of 5 bit LFSR deterministic; the structure of values created by the Table 1: 5 bit LFSR sequence register is absolutely resulted by its current state. Similarly, because the register has a finite number of potential states, it must ultimately enter a reciting cycle. Though, a LFSR with a wellchosen reaction function can produce a structure of bits which seems random in nature & which has a very long cycle. The principle of operation involves tap sequence which is the list of bits position that affects the next state. A maximal LFSR produces an n-sequence (i.e. cycles through all possible 2n-1 states within the shift register except the state where all bits are zero), unless it contains all zeros, in which case it will never change. Thus the sequence of numbers generated by a LFSR can be considered a binary numeral system just as valid as Gray code or the natural binary code. A LFSR can be designed by accomplishing exclusive-or on the outputs of two or more of the flip-flops organized and provide for those outputs back into the input of one of the flip flops. The primary value of the LFSR is known as the seed because the process of the register is IIIDESIGN METHODOLOGY In this paper, have intended CMOS design of LFSR Counter.The logic hardware comprises D Flip Flop using OR gate, XOR gate and inverters, transmission gate and dynamic logic. The principalconstituent of our LFSR Pledge Design is D Flip Flop. It have been designed D-flip flop by using resulting different constituentsnand Gates, Transmission gates and inverter, Pass transistors and dynamic logic. The proposed system comprises CMOS layout of LFSR Counter which comprehends D latches designed by using dynamic logic. Though the number of active devices is abridged in the prevailing system, the system has a chiefdrawback in supervising the difference of the voltage between high and low logic levels at each phase, so each of the transistors in sequence is less drenched at its output than at its input. Therefore if various devices are involved in series in a logic path, a predictablyerected gate may be essential to renovate the signal voltage to the full value. This weaknessindicates to use dynamic logic which is ISSN: Page 17

3 more frequently used as related to clocked logic, as it makes clear the difference between this type of strategy and static logic. Dynamic logic needs a smallest clock rate fast adequate that the productionformal of each dynamic gate is used before it escapes out of the capacitance allotting that state, during the part of the clock cycle that the production is not being aggressivelyambitious. Powerful logic, when appropriatelyenvisioned, can be endeddouble as debauched as stationary logic.in shared, livelyreasonmeaningfullyincreases the number of transistors that are changing at any given time, which growths power ingestingabovefixed CMOS. There area number ofcontrolconvertiblemethods that can be understood in aactive logic grounded system. In calculation, each obstacle can rapidanaccidentalamount of bits, and there are no power-wasting problems. Power-saving clock gating and asynchronous methods are abundantadditionalnormal in dynamic logic. The mainconstituent of dynamic power degeneracyascends from temporaryswappingperformance of the nodes. Signals in CMOS devices change are back and onwardsamong the two logic levels, subsequent in the accusing and satisfying of scrounging capacitances in the circuit. Dynamic power intemperance is comparative to the square of the source voltage. IV DESIGN OF D FLIP FLOP The latches and flip flops are the primary building blocks of sequential circuits. In ASIC strategysurroundings, latches and flip flops are characteristically predefined cells specified by the ASIC vendor. The D Flip Flop is negative edge triggered. The D Flip Flop associates a pair of D latches (Master and slave). The edge triggered D Flip Flop has a structure and hold-up time space during which the D inputs need not vary. The negative edge triggered D Flip Flop merelyupends the clock input, so that all the action takes place on dropping edge of CLK. By planning D Flip Flop, we relate the Power Consumption; from this we select the most resourceful D Flip Flop implementation. Fig. 2 D Flip Flop using NAND gates 4.2 USING TRANSMISSION GATE The design of D flip flop using transmission gate is shown in fig.3 Fig. 3 D Flip Flop using transmission gate 4.3. USING PASS TRANSISTOR The most compact application of edge trigger latch is based on inverters and pass transistors as shown in Fig. 4. The two restrained inverters are in memory state when the PMOS loop transistor is on, that is when clock = 0. Other two chain inverters on the exact hand acts in contrary way, and the reorganized function is acquired by uninterrupted ground connection of the master and slave remembrances, using NMOS devices. 4.1 USING AND GATE The basic construction of the Master Slave D Flip Flop is shown in Fig. 2. Fig. 4 D Flip Flop using pass transistors ISSN: Page 18

4 4.4 USING DYNAMIC LOGIC In overall, dynamic logic momentously increases the number of transistors that are switching at any agreed time, which increases power consumption over static CMOS. Powersaving clock gating and asynchronous methods are much more natural in dynamic logic. The major component of dynamic power dissipation arises from transient switching behavior of the nodes. Signals in CMOS devices transition are back and forth between the two logic levels, resulting in the charging and discharging of parasitic capacitances in the circuit. Dynamic power dissipation is proportional to the square of the supply voltage. Fig.5: D-Flip flop Using Dynamic Logic Fig.6 Layout of LFSR in MICROWIND V CONCLUSION In this proposed system D-flip flop is intended and analyzed using pass transistor and dynamic logics. The discussions and results show that the dynamic logic may be preferred to design the D-flip-flop to build a LFSR based binary numeral system, due to less amount MOS transistors in the project and removal of voltage difference between high/low logic levels. Thus, this technique is desirable over Gray counters in preserving the logic density in manufacturemethod, power optimization, decreasing the propagation delay &anomalies. Thus LFSR instigated in CMOS chip technology, is the best design of VLSI. In future specific or arrangement of CMOS technologies may be chosen to design the D-flip flop in a LFSR based binary numeral system with lower number of transistors area and power consumption. Thus the field of binary numeral system may be enhanced. REFERENCES [1] Neil Weste, Harris, Benerjee: CMOS VLSI Design: A Circuits and Systems Perspective, 3/e, (2006). [2] J. Saxena, K. Butler, and L. Whetsel, An analysis of power reduction techniques in scan testing, in Proc. Int. Test Conf., 2001, pp [3] Etienne Sicard,SoniaDelmasBendhia: Basic CMOS Cell Design, Mc Graw Hill Publishers (2005). [4] P. Girard, Survey of low-power testing of VLSI circuits, IEEE Des. Test Comput vol. 19, no. 3, pp , May/Jun [5] Sung-MO Kang,YusufLeblebici: CMOS Digital Integrated Circuits-Analysis and Design (2003). [6] John P. Uyemura, Chip design for submicron VLSI: CMOS layout and Simulation, Cengage Learning, [7] John F Wakerly.K: Digital Design-Principles and practices, Prentice Hall Publishers (2005). [8] R. S. Katti, X. Ruan, and H. Khattri, Multiple-output lowpower linear feedback shift register design, IEEE Tran Circuits Syst I, Reg. Papers, vol. 53, no. 7, pp , Jul [9] Neil Weste, Kamran:Principles& Applications of CMOS Logic: Addison-Wesley Publishers (1993). ISSN: Page 19

5 [10] James L. Massey: On the Shift register Synthesis & BCH Decoding: IEEE Transactions. Information Theory, Vol IT-15, n.1, pp ,(1969). [11] Arshdeep Singh, Oscar Servin, Edward Lee, LutfiBustami: 4017 CMOS LED Chaser Counter, A project (2004). [12] Timothy Brian Brock: Linear Feedback Shift Registers and Cyclic Codes in SAGE: Rose-Hulman Undergraduate Mathematics Journal, volume 7, number 2, (2006). [13] KrishnenduChakrabarty, Brian. Murray, VikramIyengar: Deterministic Built-in Test Pattern Generation for High- Performance Circuits Using Twisted- Ring Counters: IEEE Journals on VLSI Sytems, Vol 8,Issue 5,pp , (2000). [14] Kazuo Yano: Top down pass-transistor Logic Design, IEEE Journal of solid-state circuits, vol-31, No-6, (1996). [15] R.Jacob Baker (2010). CMOS: Circuit Design, Layout, and Simulation (3rd ed.). Wiley-IEEE. ISBN , chapter 14, "Dynamic logic gates". [16] Kazuo Yano: A 3.8 CMOS 16 * 16 b multiplier using complementary pass-transistor Logic: IEEE Journal of solid-state circuits, vol-25, No-2,(1990). [17] Sung-Mo Kang; Yusuf Leblebici (2003) CMOS digital integrated circuits: analysis and design (3rd ed.), McGraw- Hill ISBN , chapter 9, "Dynamic logic circuits". [18] Zhongchuan Yu: An Investigation into the Security of Self-timed Circuits: LFSR design and Implementation, Thesis, Ch-5 (2003) [19] Xilinx, Inc., Efficient Shift Registers, LFSR Counters, and Long Pseudo-Random Sequence Generators, pdf, date accessed: February 15, 2003, date written: October 11, [20] SalendraGovindarajuluet. al.: Design of High Performance Dynamic CMOS Circuits in Deep Submicron Technology: International Journal of Engineering Science and Technology, Vol. 2(7), (2010). ISSN: Page 20

LFSR Counter Implementation in CMOS VLSI

LFSR Counter Implementation in CMOS VLSI LFSR Counter Implementation in CMOS VLSI Doshi N. A., Dhobale S. B., and Kakade S. R. Abstract As chip manufacturing technology is suddenly on the threshold of major evaluation, which shrinks chip in size

More information

Design of a Low Power Four-Bit Binary Counter Using Enhancement Type Mosfet

Design of a Low Power Four-Bit Binary Counter Using Enhancement Type Mosfet Design of a Low Power Four-Bit Binary Counter Using Enhancement Type Mosfet Praween Sinha Department of Electronics & Communication Engineering Maharaja Agrasen Institute Of Technology, Rohini sector -22,

More information

A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY

A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY Ms. Chaitali V. Matey 1, Ms. Shraddha K. Mendhe 2, Mr. Sandip A.

More information

DESIGN OF LOW POWER TEST PATTERN GENERATOR

DESIGN OF LOW POWER TEST PATTERN GENERATOR International Journal of Electronics, Communication & Instrumentation Engineering Research and Development (IJECIERD) ISSN(P): 2249-684X; ISSN(E): 2249-7951 Vol. 4, Issue 1, Feb 2014, 59-66 TJPRC Pvt.

More information

Design of Fault Coverage Test Pattern Generator Using LFSR

Design of Fault Coverage Test Pattern Generator Using LFSR Design of Fault Coverage Test Pattern Generator Using LFSR B.Saritha M.Tech Student, Department of ECE, Dhruva Institue of Engineering & Technology. Abstract: A new fault coverage test pattern generator

More information

Design of an Efficient Low Power Multi Modulus Prescaler

Design of an Efficient Low Power Multi Modulus Prescaler International Journal of Engineering Research and Development e-issn: 2278-067X, p-issn: 2278-800X, www.ijerd.com Volume 6, Issue 3 (March 2013), PP. 15-22 Design of an Efficient Low Power Multi Modulus

More information

HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP

HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP 1 R.Ramya, 2 C.Hamsaveni 1,2 PG Scholar, Department of ECE, Hindusthan Institute Of Technology,

More information

Single Edge Triggered Static D Flip-Flops: Performance Comparison

Single Edge Triggered Static D Flip-Flops: Performance Comparison Single Edge Triggered Static D Flip-Flops: Performance Comparison Kanchan Sharma K.G. Sharma Tripti Sharma ECE Department, FET, MUST,Lakshmangarh, Rajasthan, India Sharmakanchan746@ gmail.com Abstract

More information

SYNCHRONOUS DERIVED CLOCK AND SYNTHESIS OF LOW POWER SEQUENTIAL CIRCUITS *

SYNCHRONOUS DERIVED CLOCK AND SYNTHESIS OF LOW POWER SEQUENTIAL CIRCUITS * SYNCHRONOUS DERIVED CLOCK AND SYNTHESIS OF LOW POWER SEUENTIAL CIRCUITS * Wu Xunwei (Department of Electronic Engineering Hangzhou University Hangzhou 328) ing Wu Massoud Pedram (Department of Electrical

More information

CMOS DESIGN OF FLIP-FLOP ON 120nm

CMOS DESIGN OF FLIP-FLOP ON 120nm CMOS DESIGN OF FLIP-FLOP ON 120nm *Neelam Kumar, **Anjali Sharma *4 th Year Student, Department of EEE, AP Goyal Shimla University Shimla, India. neelamkumar991@gmail.com ** Assistant Professor, Department

More information

Improve Performance of Low-Power Clock Branch Sharing Double-Edge Triggered Flip-Flop

Improve Performance of Low-Power Clock Branch Sharing Double-Edge Triggered Flip-Flop Sumant Kumar et al. 2016, Volume 4 Issue 1 ISSN (Online): 2348-4098 ISSN (Print): 2395-4752 International Journal of Science, Engineering and Technology An Open Access Journal Improve Performance of Low-Power

More information

GLITCH FREE NAND BASED DCDL IN PHASE LOCKED LOOP APPLICATION

GLITCH FREE NAND BASED DCDL IN PHASE LOCKED LOOP APPLICATION GLITCH FREE NAND BASED DCDL IN PHASE LOCKED LOOP APPLICATION S. Karpagambal 1 and M. S. Thaen Malar 2 1 VLSI Design, Sona College of Technology, Salem, India 2 Department of Electronics and Communication

More information

data and is used in digital networks and storage devices. CRC s are easy to implement in binary

data and is used in digital networks and storage devices. CRC s are easy to implement in binary Introduction Cyclic redundancy check (CRC) is an error detecting code designed to detect changes in transmitted data and is used in digital networks and storage devices. CRC s are easy to implement in

More information

ISSN:

ISSN: 191 Low Power Test Pattern Generator Using LFSR and Single Input Changing Generator (SICG) for BIST Applications A K MOHANTY 1, B P SAHU 2, S S MAHATO 3 Department of Electronics and Communication Engineering,

More information

DIFFERENTIAL CONDITIONAL CAPTURING FLIP-FLOP TECHNIQUE USED FOR LOW POWER CONSUMPTION IN CLOCKING SCHEME

DIFFERENTIAL CONDITIONAL CAPTURING FLIP-FLOP TECHNIQUE USED FOR LOW POWER CONSUMPTION IN CLOCKING SCHEME DIFFERENTIAL CONDITIONAL CAPTURING FLIP-FLOP TECHNIQUE USED FOR LOW POWER CONSUMPTION IN CLOCKING SCHEME Mr.N.Vetriselvan, Assistant Professor, Dhirajlal Gandhi College of Technology Mr.P.N.Palanisamy,

More information

Power Optimization by Using Multi-Bit Flip-Flops

Power Optimization by Using Multi-Bit Flip-Flops Volume-4, Issue-5, October-2014, ISSN No.: 2250-0758 International Journal of Engineering and Management Research Page Number: 194-198 Power Optimization by Using Multi-Bit Flip-Flops D. Hazinayab 1, K.

More information

Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA

Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA M.V.M.Lahari 1, M.Mani Kumari 2 1,2 Department of ECE, GVPCEOW,Visakhapatnam. Abstract The increasing growth of sub-micron

More information

Efficient Architecture for Flexible Prescaler Using Multimodulo Prescaler

Efficient Architecture for Flexible Prescaler Using Multimodulo Prescaler Efficient Architecture for Flexible Using Multimodulo G SWETHA, S YUVARAJ Abstract This paper, An Efficient Architecture for Flexible Using Multimodulo is an architecture which is designed from the proposed

More information

EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP. Due İLKER KALYONCU, 10043

EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP. Due İLKER KALYONCU, 10043 EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP Due 16.05. İLKER KALYONCU, 10043 1. INTRODUCTION: In this project we are going to design a CMOS positive edge triggered master-slave

More information

DESIGN OF DOUBLE PULSE TRIGGERED FLIP-FLOP BASED ON SIGNAL FEED THROUGH SCHEME

DESIGN OF DOUBLE PULSE TRIGGERED FLIP-FLOP BASED ON SIGNAL FEED THROUGH SCHEME Scientific Journal Impact Factor (SJIF): 1.711 e-issn: 2349-9745 p-issn: 2393-8161 International Journal of Modern Trends in Engineering and Research www.ijmter.com DESIGN OF DOUBLE PULSE TRIGGERED FLIP-FLOP

More information

INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET)

INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) Proceedings of the 2 nd International Conference on Current Trends in Engineering and Management ICCTEM -2014 ISSN

More information

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits N.Brindha, A.Kaleel Rahuman ABSTRACT: Auto scan, a design for testability (DFT) technique for synchronous sequential circuits.

More information

Design of Low Power D-Flip Flop Using True Single Phase Clock (TSPC)

Design of Low Power D-Flip Flop Using True Single Phase Clock (TSPC) Design of Low Power D-Flip Flop Using True Single Phase Clock (TSPC) Swetha Kanchimani M.Tech (VLSI Design), Mrs.Syamala Kanchimani Associate Professor, Miss.Godugu Uma Madhuri Assistant Professor, ABSTRACT:

More information

Area Efficient Level Sensitive Flip-Flops A Performance Comparison

Area Efficient Level Sensitive Flip-Flops A Performance Comparison Area Efficient Level Sensitive Flip-Flops A Performance Comparison Tripti Dua, K. G. Sharma*, Tripti Sharma ECE Department, FET, Mody University of Science & Technology, Lakshmangarh, Rajasthan, India

More information

Implementation of High Speed, Low Power NAND Gate-based JK Flip-Flop using Modified GDI Technique in 130 nm Technology

Implementation of High Speed, Low Power NAND Gate-based JK Flip-Flop using Modified GDI Technique in 130 nm Technology International Journal of Engineering and Technical Research (IJETR) ISSN: 2321-0869 (O) 2454-4698 (P), Volume-5, Issue-2, June 2016 Implementation of High Speed, Low Power NAND Gate-based JK Flip-Flop

More information

AN EFFICIENT LOW POWER DESIGN FOR ASYNCHRONOUS DATA SAMPLING IN DOUBLE EDGE TRIGGERED FLIP-FLOPS

AN EFFICIENT LOW POWER DESIGN FOR ASYNCHRONOUS DATA SAMPLING IN DOUBLE EDGE TRIGGERED FLIP-FLOPS AN EFFICIENT LOW POWER DESIGN FOR ASYNCHRONOUS DATA SAMPLING IN DOUBLE EDGE TRIGGERED FLIP-FLOPS NINU ABRAHAM 1, VINOJ P.G 2 1 P.G Student [VLSI & ES], SCMS School of Engineering & Technology, Cochin,

More information

Gated Driver Tree Based Power Optimized Multi-Bit Flip-Flops

Gated Driver Tree Based Power Optimized Multi-Bit Flip-Flops International Journal of Emerging Engineering Research and Technology Volume 2, Issue 4, July 2014, PP 250-254 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) Gated Driver Tree Based Power Optimized Multi-Bit

More information

Design of BIST with Low Power Test Pattern Generator

Design of BIST with Low Power Test Pattern Generator IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 4, Issue 5, Ver. II (Sep-Oct. 2014), PP 30-39 e-issn: 2319 4200, p-issn No. : 2319 4197 Design of BIST with Low Power Test Pattern Generator

More information

Efficient 500 MHz Digital Phase Locked Loop Implementation sin 180nm CMOS Technology

Efficient 500 MHz Digital Phase Locked Loop Implementation sin 180nm CMOS Technology Efficient 500 MHz Digital Phase Locked Loop Implementation sin 180nm CMOS Technology Akash Singh Rawat 1, Kirti Gupta 2 Electronics and Communication Department, Bharati Vidyapeeth s College of Engineering,

More information

DESIGN AND IMPLEMENTATION OF SYNCHRONOUS 4-BIT UP COUNTER USING 180NM CMOS PROCESS TECHNOLOGY

DESIGN AND IMPLEMENTATION OF SYNCHRONOUS 4-BIT UP COUNTER USING 180NM CMOS PROCESS TECHNOLOGY DESIGN AND IMPLEMENTATION OF SYNCHRONOUS 4-BIT UP COUNTER USING 180NM CMOS PROCESS TECHNOLOGY Yogita Hiremath 1, Akalpita L. Kulkarni 2, J. S. Baligar 3 1 PG Student, Dept. of ECE, Dr.AIT, Bangalore, Karnataka,

More information

Parametric Optimization of Clocked Redundant Flip-Flop Using Transmission Gate

Parametric Optimization of Clocked Redundant Flip-Flop Using Transmission Gate Parametric Optimization of Clocked Redundant Flip-Flop Using Transmission Gate Sapna Sadhwani Student, Department of ECE Lakshmi Narain College of Technology Bhopal, India srsadhwani@gmail.comm Abstract

More information

Dynamic Power Reduction in Sequential Circuits Using Look Ahead Clock Gating Technique R. Manjith, C. Muthukumari

Dynamic Power Reduction in Sequential Circuits Using Look Ahead Clock Gating Technique R. Manjith, C. Muthukumari Dynamic Power Reduction in Sequential Circuits Using Look Ahead Clock Gating Technique R. Manjith, C. Muthukumari Abstract In this paper, a novel Linear Feedback Shift Register (LFSR) with Look Ahead Clock

More information

DESIGN AND ANALYSIS OF COMBINATIONAL CODING CIRCUITS USING ADIABATIC LOGIC

DESIGN AND ANALYSIS OF COMBINATIONAL CODING CIRCUITS USING ADIABATIC LOGIC DESIGN AND ANALYSIS OF COMBINATIONAL CODING CIRCUITS USING ADIABATIC LOGIC ARCHITA SRIVASTAVA Integrated B.tech(ECE) M.tech(VLSI) Scholar, Jayoti Vidyapeeth Women s University, Rajasthan, India, Email:

More information

A Symmetric Differential Clock Generator for Bit-Serial Hardware

A Symmetric Differential Clock Generator for Bit-Serial Hardware A Symmetric Differential Clock Generator for Bit-Serial Hardware Mitchell J. Myjak and José G. Delgado-Frias School of Electrical Engineering and Computer Science Washington State University Pullman, WA,

More information

Memory elements. Topics. Memory element terminology. Variations in memory elements. Clock terminology. Memory element parameters. clock.

Memory elements. Topics. Memory element terminology. Variations in memory elements. Clock terminology. Memory element parameters. clock. Topics! Memory elements.! Basics of sequential machines. Memory elements! Stores a value as controlled by clock.! May have load signal, etc.! In CMOS, memory is created by:! capacitance (dynamic);! feedback

More information

EEC 118 Lecture #9: Sequential Logic. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

EEC 118 Lecture #9: Sequential Logic. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation EEC 118 Lecture #9: Sequential Logic Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation Outline Review: Static CMOS Logic Finish Static CMOS transient analysis Sequential

More information

LOW-POWER CLOCK DISTRIBUTION IN EDGE TRIGGERED FLIP-FLOP

LOW-POWER CLOCK DISTRIBUTION IN EDGE TRIGGERED FLIP-FLOP LOW-POWER CLOCK DISTRIBUTION IN EDGE TRIGGERED FLIP-FLOP Rahul Yadav 1, Rahul Shrivastava 2, Vijay Yadav 3 1 M.Tech Scholar, 2 Asst. Prof., 3 Asst. Prof Department of Electronics and Communication Engineering,

More information

Design and Simulation of a Digital CMOS Synchronous 4-bit Up-Counter with Set and Reset

Design and Simulation of a Digital CMOS Synchronous 4-bit Up-Counter with Set and Reset Design and Simulation of a Digital CMOS Synchronous 4-bit Up-Counter with Set and Reset Course Number: ECE 533 Spring 2013 University of Tennessee Knoxville Instructor: Dr. Syed Kamrul Islam Prepared by

More information

LOW POWER DOUBLE EDGE PULSE TRIGGERED FLIP FLOP DESIGN

LOW POWER DOUBLE EDGE PULSE TRIGGERED FLIP FLOP DESIGN INTERNATIONAL JOURNAL OF RESEARCH IN COMPUTER APPLICATIONS AND ROBOTICS ISSN 2320-7345 LOW POWER DOUBLE EDGE PULSE TRIGGERED FLIP FLOP DESIGN G.Swetha 1, T.Krishna Murthy 2 1 Student, SVEC (Autonomous),

More information

VLSI Design: 3) Explain the various MOSFET Capacitances & their significance. 4) Draw a CMOS Inverter. Explain its transfer characteristics

VLSI Design: 3) Explain the various MOSFET Capacitances & their significance. 4) Draw a CMOS Inverter. Explain its transfer characteristics 1) Explain why & how a MOSFET works VLSI Design: 2) Draw Vds-Ids curve for a MOSFET. Now, show how this curve changes (a) with increasing Vgs (b) with increasing transistor width (c) considering Channel

More information

A Low-Power CMOS Flip-Flop for High Performance Processors

A Low-Power CMOS Flip-Flop for High Performance Processors A Low-Power CMOS Flip-Flop for High Performance Processors Preetisudha Meher, Kamala Kanta Mahapatra Dept. of Electronics and Telecommunication National Institute of Technology Rourkela, India Preetisudha1@gmail.com,

More information

Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques

Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques Akkala Suvarna Ratna M.Tech (VLSI & ES), Department of ECE, Sri Vani School of Engineering, Vijayawada. Abstract: A new

More information

High Performance Dynamic Hybrid Flip-Flop For Pipeline Stages with Methodical Implanted Logic

High Performance Dynamic Hybrid Flip-Flop For Pipeline Stages with Methodical Implanted Logic High Performance Dynamic Hybrid Flip-Flop For Pipeline Stages with Methodical Implanted Logic K.Vajida Tabasum, K.Chandra Shekhar Abstract-In this paper we introduce a new high performance dynamic hybrid

More information

Available online at ScienceDirect. Procedia Computer Science 46 (2015 ) Aida S Tharakan a *, Binu K Mathew b

Available online at  ScienceDirect. Procedia Computer Science 46 (2015 ) Aida S Tharakan a *, Binu K Mathew b Available online at www.sciencedirect.com ScienceDirect Procedia Computer Science 46 (2015 ) 1409 1416 International Conference on Information and Communication Technologies (ICICT 2014) Design and Implementation

More information

SIC Vector Generation Using Test per Clock and Test per Scan

SIC Vector Generation Using Test per Clock and Test per Scan International Journal of Emerging Engineering Research and Technology Volume 2, Issue 8, November 2014, PP 84-89 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) SIC Vector Generation Using Test per Clock

More information

Implementation of BIST Test Generation Scheme based on Single and Programmable Twisted Ring Counters

Implementation of BIST Test Generation Scheme based on Single and Programmable Twisted Ring Counters IOSR Journal of Mechanical and Civil Engineering (IOSR-JMCE) e-issn: 2278-1684, p-issn: 2320-334X Implementation of BIST Test Generation Scheme based on Single and Programmable Twisted Ring Counters N.Dilip

More information

Abstract 1. INTRODUCTION. Cheekati Sirisha, IJECS Volume 05 Issue 10 Oct., 2016 Page No Page 18532

Abstract 1. INTRODUCTION. Cheekati Sirisha, IJECS Volume 05 Issue 10 Oct., 2016 Page No Page 18532 www.ijecs.in International Journal Of Engineering And Computer Science ISSN: 2319-7242 Volume 5 Issue 10 Oct. 2016, Page No. 18532-18540 Pulsed Latches Methodology to Attain Reduced Power and Area Based

More information

Analysis of Digitally Controlled Delay Loop-NAND Gate for Glitch Free Design

Analysis of Digitally Controlled Delay Loop-NAND Gate for Glitch Free Design Analysis of Digitally Controlled Delay Loop-NAND Gate for Glitch Free Design S. Karpagambal, PG Scholar, VLSI Design, Sona College of Technology, Salem, India. e-mail:karpagambals.nsit@gmail.com M.S. Thaen

More information

A Low Power Delay Buffer Using Gated Driver Tree

A Low Power Delay Buffer Using Gated Driver Tree IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) ISSN: 2319 4200, ISBN No. : 2319 4197 Volume 1, Issue 4 (Nov. - Dec. 2012), PP 26-30 A Low Power Delay Buffer Using Gated Driver Tree Kokkilagadda

More information

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath Objectives Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath In the previous chapters we have studied how to develop a specification from a given application, and

More information

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL Random Access Scan Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL ramamve@auburn.edu Term Paper for ELEC 7250 (Spring 2005) Abstract: Random Access

More information

RS flip-flop using NOR gate

RS flip-flop using NOR gate RS flip-flop using NOR gate Triggering and triggering methods Triggering : Applying train of pulses, to set or reset the memory cell is known as Triggering. Triggering methods:- There are basically two

More information

CSE 352 Laboratory Assignment 3

CSE 352 Laboratory Assignment 3 CSE 352 Laboratory Assignment 3 Introduction to Registers The objective of this lab is to introduce you to edge-trigged D-type flip-flops as well as linear feedback shift registers. Chapter 3 of the Harris&Harris

More information

Asynchronous (Ripple) Counters

Asynchronous (Ripple) Counters Circuits for counting events are frequently used in computers and other digital systems. Since a counter circuit must remember its past states, it has to possess memory. The chapter about flip-flops introduced

More information

A Power Efficient Flip Flop by using 90nm Technology

A Power Efficient Flip Flop by using 90nm Technology A Power Efficient Flip Flop by using 90nm Technology Mrs. Y. Lavanya Associate Professor, ECE Department, Ramachandra College of Engineering, Eluru, W.G (Dt.), A.P, India. Email: lavanya.rcee@gmail.com

More information

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September-2014 917 The Power Optimization of Linear Feedback Shift Register Using Fault Coverage Circuits K.YARRAYYA1, K CHITAMBARA

More information

Design And Analysis Of Implicit Pulsed Double Edge Triggered Clocked Latch For Low Power Applications

Design And Analysis Of Implicit Pulsed Double Edge Triggered Clocked Latch For Low Power Applications Design And Analysis Of Implicit Pulsed Double Edge Triggered Clocked Latch For Low Power Applications ¹GABARIYALA SABADINI C ²Dr. P. MANIRAJ KUMAR ³Dr. P.NAGARAJAN 1. PG scholar, VLSI design, Department

More information

INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) Design and Analysis of CNTFET Based D Flip-Flop

INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) Design and Analysis of CNTFET Based D Flip-Flop INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) International Journal of Electronics and Communication Engineering & Technology (IJECET), ISSN 0976 6464(Print)

More information

Design of New Dual Edge Triggered Sense Amplifier Flip-Flop with Low Area and Power Efficient

Design of New Dual Edge Triggered Sense Amplifier Flip-Flop with Low Area and Power Efficient Design of New Dual Edge Triggered Sense Amplifier Flip-Flop with Low Area and Power Efficient Ms. Sheik Shabeena 1, R.Jyothirmai 2, P.Divya 3, P.Kusuma 4, Ch.chiranjeevi 5 1 Assistant Professor, 2,3,4,5

More information

Comparative Analysis of Stein s. and Euclid s Algorithm with BIST for GCD Computations. 1. Introduction

Comparative Analysis of Stein s. and Euclid s Algorithm with BIST for GCD Computations. 1. Introduction IJCSN International Journal of Computer Science and Network, Vol 2, Issue 1, 2013 97 Comparative Analysis of Stein s and Euclid s Algorithm with BIST for GCD Computations 1 Sachin D.Kohale, 2 Ratnaprabha

More information

Dual Edge Adaptive Pulse Triggered Flip-Flop for a High Speed and Low Power Applications

Dual Edge Adaptive Pulse Triggered Flip-Flop for a High Speed and Low Power Applications International Journal of Scientific and Research Publications, Volume 5, Issue 10, October 2015 1 Dual Edge Adaptive Pulse Triggered Flip-Flop for a High Speed and Low Power Applications S. Harish*, Dr.

More information

RS flip-flop using NOR gate

RS flip-flop using NOR gate RS flip-flop using NOR gate Triggering and triggering methods Triggering : Applying train of pulses, to set or reset the memory cell is known as Triggering. Triggering methods:- There are basically two

More information

DIGITAL SYSTEM FUNDAMENTALS (ECE421) DIGITAL ELECTRONICS FUNDAMENTAL (ECE422) LATCHES and FLIP-FLOPS

DIGITAL SYSTEM FUNDAMENTALS (ECE421) DIGITAL ELECTRONICS FUNDAMENTAL (ECE422) LATCHES and FLIP-FLOPS COURSE / CODE DIGITAL SYSTEM FUNDAMENTALS (ECE421) DIGITAL ELECTRONICS FUNDAMENTAL (ECE422) LATCHES and FLIP-FLOPS In the same way that logic gates are the building blocks of combinatorial circuits, latches

More information

LOW POWER BASED DUAL MODE LOGIC GATES USING POWER GATING TECHNIQUE

LOW POWER BASED DUAL MODE LOGIC GATES USING POWER GATING TECHNIQUE LOW POWER BASED DUAL MODE LOGIC GATES USING POWER GATING TECHNIQUE Swapnil S. Patil 1, Sagar S. Pathak 2, Rahul R. Kathar 3, D. S. Patil 4 123 Pursuing M. Tech, Dept. of Electronics Engineering & Technology,

More information

Implementation of Low Power and Area Efficient Carry Select Adder

Implementation of Low Power and Area Efficient Carry Select Adder International Journal of Engineering Science Invention ISSN (Online): 2319 6734, ISSN (Print): 2319 6726 Volume 3 Issue 8 ǁ August 2014 ǁ PP.36-48 Implementation of Low Power and Area Efficient Carry Select

More information

ANALYSIS OF POWER REDUCTION IN 2 TO 4 LINE DECODER DESIGN USING GATE DIFFUSION INPUT TECHNIQUE

ANALYSIS OF POWER REDUCTION IN 2 TO 4 LINE DECODER DESIGN USING GATE DIFFUSION INPUT TECHNIQUE ANALYSIS OF POWER REDUCTION IN 2 TO 4 LINE DECODER DESIGN USING GATE DIFFUSION INPUT TECHNIQUE *Pranshu Sharma, **Anjali Sharma * Assistant Professor, Department of ECE AP Goyal Shimla University, Shimla,

More information

Power Efficient Design of Sequential Circuits using OBSC and RTPG Integration

Power Efficient Design of Sequential Circuits using OBSC and RTPG Integration Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 2, Issue. 9, September 2013,

More information

Power Optimization of Linear Feedback Shift Register (LFSR) using Power Gating

Power Optimization of Linear Feedback Shift Register (LFSR) using Power Gating Power Optimization of Linear Feedback Shift Register (LFSR) using Rebecca Angela Fernandes 1, Niju Rajan 2 1Student, Dept. of E&C Engineering, N.M.A.M Institute of Technology, Karnataka, India 2Assistant

More information

COMP2611: Computer Organization. Introduction to Digital Logic

COMP2611: Computer Organization. Introduction to Digital Logic 1 COMP2611: Computer Organization Sequential Logic Time 2 Till now, we have essentially ignored the issue of time. We assume digital circuits: Perform their computations instantaneously Stateless: once

More information

CMOS Low Power, High Speed Dual- Modulus32/33Prescalerin sub-nanometer Technology

CMOS Low Power, High Speed Dual- Modulus32/33Prescalerin sub-nanometer Technology IJSTE International Journal of Science Technology & Engineering Vol. 1, Issue 1, July 2014 ISSN(online): 2349-784X CMOS Low Power, High Speed Dual- Modulus32/33Prescalerin sub-nanometer Technology Dabhi

More information

Comparative Analysis of low area and low power D Flip-Flop for Different Logic Values

Comparative Analysis of low area and low power D Flip-Flop for Different Logic Values The International Journal Of Engineering And Science (IJES) Volume 3 Issue 8 Pages 15-19 2014 ISSN (e): 2319 1813 ISSN (p): 2319 1805 Comparative Analysis of low area and low power D Flip-Flop for Different

More information

Sequencing. Lan-Da Van ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall,

Sequencing. Lan-Da Van ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall, Sequencing ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall, 2013 ldvan@cs.nctu.edu.tw http://www.cs.nctu.edu.tw/~ldvan/ Outlines Introduction Sequencing

More information

P.Akila 1. P a g e 60

P.Akila 1. P a g e 60 Designing Clock System Using Power Optimization Techniques in Flipflop P.Akila 1 Assistant Professor-I 2 Department of Electronics and Communication Engineering PSR Rengasamy college of engineering for

More information

Novel Low Power and Low Transistor Count Flip-Flop Design with. High Performance

Novel Low Power and Low Transistor Count Flip-Flop Design with. High Performance Novel Low Power and Low Transistor Count Flip-Flop Design with High Performance Imran Ahmed Khan*, Dr. Mirza Tariq Beg Department of Electronics and Communication, Jamia Millia Islamia, New Delhi, India

More information

A Modified Static Contention Free Single Phase Clocked Flip-flop Design for Low Power Applications

A Modified Static Contention Free Single Phase Clocked Flip-flop Design for Low Power Applications JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.8, NO.5, OCTOBER, 08 ISSN(Print) 598-657 https://doi.org/57/jsts.08.8.5.640 ISSN(Online) -4866 A Modified Static Contention Free Single Phase Clocked

More information

DESIGN OF A NEW MODIFIED CLOCK GATED SENSE-AMPLIFIER FLIP-FLOP

DESIGN OF A NEW MODIFIED CLOCK GATED SENSE-AMPLIFIER FLIP-FLOP DESIGN OF A NEW MODIFIED CLOCK GATED SENSE-AMPLIFIER FLIP-FLOP P.MANIKANTA, DR. R. RAMANA REDDY ABSTRACT In this paper a new modified explicit-pulsed clock gated sense-amplifier flip-flop (MCG-SAFF) is

More information

Design of a Low Power and Area Efficient Flip Flop With Embedded Logic Module

Design of a Low Power and Area Efficient Flip Flop With Embedded Logic Module IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 10, Issue 6, Ver. II (Nov - Dec.2015), PP 40-50 www.iosrjournals.org Design of a Low Power

More information

New Single Edge Triggered Flip-Flop Design with Improved Power and Power Delay Product for Low Data Activity Applications

New Single Edge Triggered Flip-Flop Design with Improved Power and Power Delay Product for Low Data Activity Applications American-Eurasian Journal of Scientific Research 8 (1): 31-37, 013 ISSN 1818-6785 IDOSI Publications, 013 DOI: 10.589/idosi.aejsr.013.8.1.8366 New Single Edge Triggered Flip-Flop Design with Improved Power

More information

Low Power D Flip Flop Using Static Pass Transistor Logic

Low Power D Flip Flop Using Static Pass Transistor Logic Low Power D Flip Flop Using Static Pass Transistor Logic 1 T.SURIYA PRABA, 2 R.MURUGASAMI PG SCHOLAR, NANDHA ENGINEERING COLLEGE, ERODE, INDIA Abstract: Minimizing power consumption is vitally important

More information

International Journal Of Global Innovations -Vol.6, Issue.I Paper Id: SP-V6-I1-P46 ISSN Online:

International Journal Of Global Innovations -Vol.6, Issue.I Paper Id: SP-V6-I1-P46 ISSN Online: ANALYSIS OF LOW-POWER AND AREA-EFFICIENT SHIFT REGISTERS USING PULSED LATCH #1 GUNTI SUMANJALI, M.Tech Student, #2 V.SRIDHAR, Assistant Professor, Dept of ECE, MOTHER THERESSA COLLEGE OF ENGINEERING &

More information

ELE2120 Digital Circuits and Systems. Tutorial Note 7

ELE2120 Digital Circuits and Systems. Tutorial Note 7 ELE2120 Digital Circuits and Systems Tutorial Note 7 Outline 1. Sequential Circuit 2. Gated SR Latch 3. Gated D-latch 4. Edge-Triggered D Flip-Flop 5. Asynchronous and Synchronous reset Sequential Circuit

More information

Implementation of High Speed Adder using DLATCH

Implementation of High Speed Adder using DLATCH International Journal of Emerging Engineering Research and Technology Volume 3, Issue 12, December 2015, PP 162-172 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) Implementation of High Speed Adder using

More information

Asynchronous Model of Flip-Flop s and Latches for Low Power Clocking

Asynchronous Model of Flip-Flop s and Latches for Low Power Clocking Asynchronous Model of Flip-Flop s and Latches for Low Power Clocking G.Abhinaya Raja & P.Srinivas Department Of Electronics & Comm. Engineering, Nimra College of Engineering & Technology, Ibrahimpatnam,

More information

High speed, Low power N/ (N+1) prescaler using TSPC and E-TSPC: A survey Nemitha B 1, Pradeep Kumar B.P 2

High speed, Low power N/ (N+1) prescaler using TSPC and E-TSPC: A survey Nemitha B 1, Pradeep Kumar B.P 2 High speed, Low power N/ (N+1) prescaler using TSPC and E-TSPC: A survey Nemitha B 1, Pradeep Kumar B.P 2 1 PG scholar, Dept of ECE, AIT, Tumkur, Karnataka, India 2 Asst.professor, Dept of ECE, AIT, Tumkur,

More information

High Speed 8-bit Counters using State Excitation Logic and their Application in Frequency Divider

High Speed 8-bit Counters using State Excitation Logic and their Application in Frequency Divider High Speed 8-bit Counters using State Excitation Logic and their Application in Frequency Divider Ranjith Ram. A 1, Pramod. P 2 1 Department of Electronics and Communication Engineering Government College

More information

Design of a High Frequency Dual Modulus Prescaler using Efficient TSPC Flip Flop using 180nm Technology

Design of a High Frequency Dual Modulus Prescaler using Efficient TSPC Flip Flop using 180nm Technology Design of a High Frequency Dual Modulus Prescaler using Efficient TSPC Flip Flop using 180nm Technology Divya shree.m 1, H. Venkatesh kumar 2 PG Student, Dept. of ECE, Nagarjuna College of Engineering

More information

Figure.1 Clock signal II. SYSTEM ANALYSIS

Figure.1 Clock signal II. SYSTEM ANALYSIS International Journal of Advances in Engineering, 2015, 1(4), 518-522 ISSN: 2394-9260 (printed version); ISSN: 2394-9279 (online version); url:http://www.ijae.in RESEARCH ARTICLE Multi bit Flip-Flop Grouping

More information

Low Power Area Efficient Parallel Counter Architecture

Low Power Area Efficient Parallel Counter Architecture Low Power Area Efficient Parallel Counter Architecture Lekshmi Aravind M-Tech Student, Dept. of ECE, Mangalam College of Engineering, Kottayam, India Abstract: Counters are specialized registers and is

More information

Modifying the Scan Chains in Sequential Circuit to Reduce Leakage Current

Modifying the Scan Chains in Sequential Circuit to Reduce Leakage Current IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 3, Issue 1 (Sep. Oct. 2013), PP 01-09 e-issn: 2319 4200, p-issn No. : 2319 4197 Modifying the Scan Chains in Sequential Circuit to Reduce Leakage

More information

Low Power Approach of Clock Gating in Synchronous System like FIFO: A Novel Clock Gating Approach and Comparative Analysis

Low Power Approach of Clock Gating in Synchronous System like FIFO: A Novel Clock Gating Approach and Comparative Analysis Low Power Approach of Clock Gating in Synchronous System like FIFO: A Novel Clock Gating Approach and Comparative Analysis Abstract- A new technique of clock is presented to reduce dynamic power consumption.

More information

PERFORMANCE ANALYSIS OF AN EFFICIENT PULSE-TRIGGERED FLIP FLOPS FOR ULTRA LOW POWER APPLICATIONS

PERFORMANCE ANALYSIS OF AN EFFICIENT PULSE-TRIGGERED FLIP FLOPS FOR ULTRA LOW POWER APPLICATIONS Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology ISSN 2320 088X IMPACT FACTOR: 5.258 IJCSMC,

More information

Design of Low Power and Area Efficient Pulsed Latch Based Shift Register

Design of Low Power and Area Efficient Pulsed Latch Based Shift Register Design of Low Power and Area Efficient Pulsed Latch Based Shift Register 1 ANUSHA KORE, 2 Dr. S.A.MUZEER Department of ECE Megha Institute of Engineering & Technology For women s Edulabad, Ghatkesar mandal,

More information

Novel Correction and Detection for Memory Applications 1 B.Pujita, 2 SK.Sahir

Novel Correction and Detection for Memory Applications 1 B.Pujita, 2 SK.Sahir Novel Correction and Detection for Memory Applications 1 B.Pujita, 2 SK.Sahir 1 M.Tech Research Scholar, Priyadarshini Institute of Technology & Science, Chintalapudi, India 2 HOD, Priyadarshini Institute

More information

Retiming Sequential Circuits for Low Power

Retiming Sequential Circuits for Low Power Retiming Sequential Circuits for Low Power José Monteiro, Srinivas Devadas Department of EECS MIT, Cambridge, MA Abhijit Ghosh Mitsubishi Electric Research Laboratories Sunnyvale, CA Abstract Switching

More information

nmos transistor Basics of VLSI Design and Test Solution: CMOS pmos transistor CMOS Inverter First-Order DC Analysis CMOS Inverter: Transient Response

nmos transistor Basics of VLSI Design and Test Solution: CMOS pmos transistor CMOS Inverter First-Order DC Analysis CMOS Inverter: Transient Response nmos transistor asics of VLSI Design and Test If the gate is high, the switch is on If the gate is low, the switch is off Mohammad Tehranipoor Drain ECE495/695: Introduction to Hardware Security & Trust

More information

A High- Speed LFSR Design by the Application of Sample Period Reduction Technique for BCH Encoder

A High- Speed LFSR Design by the Application of Sample Period Reduction Technique for BCH Encoder IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) ISSN: 239 42, ISBN No. : 239 497 Volume, Issue 5 (Jan. - Feb 23), PP 7-24 A High- Speed LFSR Design by the Application of Sample Period Reduction

More information

Metastability Analysis of Synchronizer

Metastability Analysis of Synchronizer Forn International Journal of Scientific Research in Computer Science and Engineering Research Paper Vol-1, Issue-3 ISSN: 2320 7639 Metastability Analysis of Synchronizer Ankush S. Patharkar *1 and V.

More information

Fully Static and Compressed Topology Using Power Saving in Digital circuits for Reduced Transistor Flip flop

Fully Static and Compressed Topology Using Power Saving in Digital circuits for Reduced Transistor Flip flop Fully Static and Compressed Topology Using Power Saving in Digital circuits for Reduced Transistor Flip flop 1 S.Mounika & 2 P.Dhaneef Kumar 1 M.Tech, VLSIES, GVIC college, Madanapalli, mounikarani3333@gmail.com

More information

ISSN Vol.08,Issue.24, December-2016, Pages:

ISSN Vol.08,Issue.24, December-2016, Pages: ISSN 2348 2370 Vol.08,Issue.24, December-2016, Pages:4666-4671 www.ijatir.org Design and Analysis of Shift Register using Pulse Triggered Latches N. NEELUFER 1, S. RAMANJI NAIK 2, B. SURESH BABU 3 1 PG

More information

IC Layout Design of Decoders Using DSCH and Microwind Shaik Fazia Kausar MTech, Dr.K.V.Subba Reddy Institute of Technology.

IC Layout Design of Decoders Using DSCH and Microwind Shaik Fazia Kausar MTech, Dr.K.V.Subba Reddy Institute of Technology. IC Layout Design of Decoders Using DSCH and Microwind Shaik Fazia Kausar MTech, Dr.K.V.Subba Reddy Institute of Technology. T.Vijay Kumar, M.Tech Associate Professor, Dr.K.V.Subba Reddy Institute of Technology.

More information