Single Channel LVDS Tx

Size: px
Start display at page:

Download "Single Channel LVDS Tx"

Transcription

1 April 2013 Introduction Reference esign R1162 Low Voltage ifferential Signaling (LVS) is an electrical signaling system that can run at very high speeds over inexpensive twisted-pair copper cables. It delivers high data rates while consuming significantly less power than competing technologies. Since its introduction, it has become popular in very high speed networks and computer buses. Source synchronous interfaces consisting of multiple data bits and clocks have become a common method for moving image data within electronic systems. A prevalent standard is the 7:1 LVS interface (employed in Channel Link, Flat Link, and Camera Link), which has become a common standard in many electronic products including consumer devices, industrial control, medical, and automotive telematics. In many of these applications, the practice of using low-cost FPGAs for image processing has become quite common. This document provides a brief description of an LVS Transmitter and its implementation. The design is implemented in VHL. The Lattice icecube2 Place and Route tool integrated with the Synopsys Synplify Pro synthesis tool is used for the implementation of the design. The design can be targeted to other ice40 FPGA product family devices. Figure 1 shows the System Block iagram for the LVS Transmitter. Features LVS Signaling on Flip Flops Configurable LVS data channels (1 to 4) Verilog RTL, testbench and ModelSim script for simulation System Block iagram Figure 1. System Block iagram p[3:0] Application Processor [27:0] ice CM as LVS Transmitter n[3:0] LVS Application o_lvdsclkn 2013 Lattice Semiconductor Corp. All Lattice trademarks, registered trademarks, patents, and disclaimers are as listed at All other brand or product names are trademarks or registered trademarks of their respective holders. The specifications and information herein are subject to change without notice. 1 rd1162_01.0

2 Functional Block iagram Figure 2. Functional Block iagram LVS O/P p[3] n[3] [27:0] LVS O/P LVS O/P LVS O/P p[2] n[2] p[1] n[1] p[0] n[0] 3.5x Clock domain LVS O/P o_lvdsclkn The FIFO output data (7-bit each for 4 channels) is fed to four instances of 7:1 serializers. The serializer module serializes the 7-bit parallel data to a single LVS ata bit and transmits this single data channel along with the LVS Clock. Usage of high speed flops as shown in Figure 4, reduces the output clock rate by 50%, that is 3.5 times instead of 7 times the input clock rate. Each 7:1 serializer produces 2 outputs for the LVS output stage. Each LVS output on the ice65 FPGA consists of 2 PIO pins and an external resistor compensation network to generate LVS signals. One output from the serializer (ATA_0) is clocked out on the rising edge of the 3.5x clock while the other output (ATA_180) is clocked out on the falling edge. These 2 PIO pins form a complimentary LVS pair, with one PIO pin (TXOUT_P) generating the non-inverting output while the other pin (TXOUT_N) generating the inverted output of the LVS differential pair. 2

3 Figure 3. LVS ifferential Pair Using F/Fs Clocked on rising edge ata_0 ata from Serializer MUX Non-Inverted ata PA External resistor network generates LVS electrical signals Rs=150 ohms TXOUT_P ata_180 Clocked on falling edge PIO LVS pair Rs=140 ohms MUX Inverted ata PA Rs=150 ohms TXOUT_N Clock from PLL, multiplied by 3.5x Clock_3P5X PIO 3

4 Timing iagram Figure 4. Timing iagram Latency=5.5 [27:21] [20:14] [13:07] [06:00] [3] 0 [2] 1 [1] 2 [0] 3 ata from the LVS lines are MSB 4

5 Simulation Waveforms Figure 5. Simulation Waveforms Signal escription Table 1. Signal escription Signal Width Type escription 1 Input Pixel clock for LVS display 1 Input Active High System Reset i_3p5pllclk 1 Input 3.5x of pixel clock for LVS isplay 28 Input FIFO output data, with the read clock same as SERES lock signal. Only when this signal is high, the 1 Output LVS_Tx module is ready to accept input data 1 Output Read enable signal to FIFO p 4 Output LVS non-inverted data output n 4 Output LVS inverted data output 1 Output LVS non-inverted clock output o_lvdsclkn 1 Output LVS inverted clock output 5

6 Usage Example Single Channel LVS Tx Figure 6 shows the top level block diagram of an LVS isplay used with the LVS Transmitter. Both the input pixel clock () and 3.5x clock (i_3p5xpllclock) must be generated from the PLL in the ata generator. Figure 6. Top Level iagram of LVS isplay Used with the LVS Transmitter RGB ata and control signal generator PLL [27:0] ice CM as LVS Transmitter Pair3 Pair2 Pair1 Pair0 o_lvdsclkn LVS isplay Figure 7 shows the generation of the control signals HSYNC, VSYNC, EN and 18-bit RGB data to the LVS display. The display resolution in this case is 1024 x 600. The LC control signals are generated based on the predetermined porch timing values of the display. Figure 7. LVS isplay Timing Generation o_lvds_vsync o_lvds_hsync valid_line efault lines=13 Active lines=600 lines Total lines=638 lines o_lvds_hsync o_lvds_pclk o_lvds_red o_lvds_green o_lvds_blue o_lvds_den default=90 Active pixels=1025 Total pixels=2025 6

7 Configurable parameters NUM_CHANNELS : This parameter configures the number of LVS data channels required. The values can range from 1 to 4 Initialization Condition When '' is HIGH, all the output LVS signals will be low. Operation Sequence 1. e-assert the signal 2. Wait until the signal goes high, indicating that the LVS Tx is ready to accept the input data 3. signal is used to read FIFO 4. Start writing the 28-bit RGB data and control signals as per the LVS LC specifications to the LVS Tx on the positive edge of to the UT as shown in Figure There is a latency of 5.5 cycles of for the first serial data output, and there afterwards the serial data is available in pipelined fashion for the LVS isplay as shown in Figure 5. Implementation This design is implemented in VHL. When using this design in a different device, density, speed or grade, performance and utilization may vary. Table 2. Performance and Resource Utilization Family Language Utilization (LUTs) f MAX (MHz) I/Os Architectural Resources ice40 1 VHL 123 >50 41 (21/160)PLBs 1. Performance and utilization characteristics are generated using ice40lp1k-cm121 with icecube2 design software. References ice40 Family Handbook Technical Support Assistance Hotline: LATTICE (North America) (Outside North America) techsupport@latticesemi.com Internet: Revision History ate Version Change Summary April Initial release. 7

Sub-LVDS-to-Parallel Sensor Bridge

Sub-LVDS-to-Parallel Sensor Bridge January 2015 Introduction Reference Design RD1122 Sony introduced the IMX036 and IMX136 sensors to support resolutions up to 1080P60 and 1080p120 respectively. A traditional CMOS parallel interface could

More information

Laboratory 4. Figure 1: Serdes Transceiver

Laboratory 4. Figure 1: Serdes Transceiver Laboratory 4 The purpose of this laboratory exercise is to design a digital Serdes In the first part of the lab, you will design all the required subblocks for the digital Serdes and simulate them In part

More information

SERDES Eye/Backplane Demo for the LatticeECP3 Serial Protocol Board User s Guide

SERDES Eye/Backplane Demo for the LatticeECP3 Serial Protocol Board User s Guide for the LatticeECP3 Serial Protocol Board User s Guide March 2011 UG24_01.4 Introduction This document provides technical information and instructions on using the LatticeECP3 SERDES Eye/Backplane Demo

More information

2D Scaler IP Core User s Guide

2D Scaler IP Core User s Guide 2D Scaler IP Core User s Guide August 2013 IPUG88_01.2 Table of Contents Chapter 1. Introduction... 4 Quick Facts... 4 Features... 4 Release Information... 5 Chapter 2. Functional Description... 6 Key

More information

SERDES Eye/Backplane Demo for the LatticeECP3 Versa Evaluation Board User s Guide

SERDES Eye/Backplane Demo for the LatticeECP3 Versa Evaluation Board User s Guide SERDES Eye/Backplane Demo for the LatticeECP3 Versa Evaluation Board User s Guide May 2011 UG44_01.1 Introduction This document provides technical information and instructions on using the LatticeECP3

More information

Synchronizing Multiple ADC08xxxx Giga-Sample ADCs

Synchronizing Multiple ADC08xxxx Giga-Sample ADCs Application Bulletin July 19, 2010 Synchronizing Multiple 0xxxx Giga-Sample s 1.0 Introduction The 0xxxx giga-sample family of analog-to-digital converters (s) make the highest performance data acquisition

More information

Transmission of High-Speed Serial Signals Over Common Cable Media

Transmission of High-Speed Serial Signals Over Common Cable Media July 008 Introduction Technical Note TN066 Designers are often faced with moving serial data from one location to another, over moderate distances, and in the most efficient manner. Transmitting large

More information

Transmission of High-Speed Serial Signals Over Common Cable Media

Transmission of High-Speed Serial Signals Over Common Cable Media August 00 Introduction Technical Note TN066 Designers are often faced with moving serial data from one location to another, over moderate distances, and in the most efficient manner. Transmitting large

More information

Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science Introductory Digital Systems Laboratory

Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science Introductory Digital Systems Laboratory Problem Set Issued: March 3, 2006 Problem Set Due: March 15, 2006 Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science 6.111 Introductory Digital Systems Laboratory

More information

Block Diagram. dw*3 pixin (RGB) pixin_vsync pixin_hsync pixin_val pixin_rdy. clk_a. clk_b. h_s, h_bp, h_fp, h_disp, h_line

Block Diagram. dw*3 pixin (RGB) pixin_vsync pixin_hsync pixin_val pixin_rdy. clk_a. clk_b. h_s, h_bp, h_fp, h_disp, h_line Key Design Features Block Diagram Synthesizable, technology independent IP Core for FPGA, ASIC and SoC reset underflow Supplied as human readable VHDL (or Verilog) source code Simple FIFO input interface

More information

LogiCORE IP Spartan-6 FPGA Triple-Rate SDI v1.0

LogiCORE IP Spartan-6 FPGA Triple-Rate SDI v1.0 LogiCORE IP Spartan-6 FPGA Triple-Rate SDI v1.0 DS849 June 22, 2011 Introduction The LogiCORE IP Spartan -6 FPGA Triple-Rate SDI interface solution provides receiver and transmitter interfaces for the

More information

Lab #5: Design Example: Keypad Scanner and Encoder - Part 1 (120 pts)

Lab #5: Design Example: Keypad Scanner and Encoder - Part 1 (120 pts) Nate Pihlstrom, npihlstr@uccs.edu Lab #5: Design Example: Keypad Scanner and Encoder - Part 1 (120 pts) Objective The objective of lab assignments 5 through 9 are to systematically design and implement

More information

LFSRs as Functional Blocks in Wireless Applications Author: Stephen Lim and Andy Miller

LFSRs as Functional Blocks in Wireless Applications Author: Stephen Lim and Andy Miller XAPP22 (v.) January, 2 R Application Note: Virtex Series, Virtex-II Series and Spartan-II family LFSRs as Functional Blocks in Wireless Applications Author: Stephen Lim and Andy Miller Summary Linear Feedback

More information

Multiplex Serial Interfaces With HOTLink

Multiplex Serial Interfaces With HOTLink Introduction Serial interfaces have been used for digital communications almost as long as digital logic has been in existence. By far the largest majority of these serial interfaces operate at what are

More information

ispmach 4000 Timing Model Design and Usage Guidelines

ispmach 4000 Timing Model Design and Usage Guidelines September 2001 Introduction Technical Note TN1004 When implementing a design into an ispmach 4000 family device, it is often critical to understand how the placement of the design will affect the timing.

More information

Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science Introductory Digital Systems Laboratory

Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science Introductory Digital Systems Laboratory Problem Set Issued: March 2, 2007 Problem Set Due: March 14, 2007 Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science 6.111 Introductory Digital Systems Laboratory

More information

Memory Interfaces Data Capture Using Direct Clocking Technique Author: Maria George

Memory Interfaces Data Capture Using Direct Clocking Technique Author: Maria George Application Note: Virtex-4 Family R XAPP701 (v1.4) October 2, 2006 Memory Interfaces Data Capture Using Direct Clocking Technique Author: Maria George Summary This application note describes the direct-clocking

More information

Design and Implementation of an AHB VGA Peripheral

Design and Implementation of an AHB VGA Peripheral Design and Implementation of an AHB VGA Peripheral 1 Module Overview Learn about VGA interface; Design and implement an AHB VGA peripheral; Program the peripheral using assembly; Lab Demonstration. System

More information

1:2 MIPI DSI Display Interface Bandwidth Reducer IP User Guide

1:2 MIPI DSI Display Interface Bandwidth Reducer IP User Guide 1:2 MIPI DSI Display Interface Bandwidth Reducer IP FPGA-IPUG-02028 Version 1.0 July 2017 Contents 1. Introduction 4 1.1. Quick Facts. 4 1.2. Features 4 1.3. Conventions 5 1.3.1. Nomenclature. 5 1.3.2.

More information

VID_OVERLAY. Digital Video Overlay Module Rev Key Design Features. Block Diagram. Applications. Pin-out Description

VID_OVERLAY. Digital Video Overlay Module Rev Key Design Features. Block Diagram. Applications. Pin-out Description Key Design Features Block Diagram Synthesizable, technology independent VHDL IP Core Video overlays on 24-bit RGB or YCbCr 4:4:4 video Supports all video resolutions up to 2 16 x 2 16 pixels Supports any

More information

2.6 Reset Design Strategy

2.6 Reset Design Strategy 2.6 Reset esign Strategy Many design issues must be considered before choosing a reset strategy for an ASIC design, such as whether to use synchronous or asynchronous resets, will every flipflop receive

More information

Design and Implementation of SOC VGA Controller Using Spartan-3E FPGA

Design and Implementation of SOC VGA Controller Using Spartan-3E FPGA Design and Implementation of SOC VGA Controller Using Spartan-3E FPGA 1 ARJUNA RAO UDATHA, 2 B.SUDHAKARA RAO, 3 SUDHAKAR.B. 1 Dept of ECE, PG Scholar, 2 Dept of ECE, Associate Professor, 3 Electronics,

More information

DT3162. Ideal Applications Machine Vision Medical Imaging/Diagnostics Scientific Imaging

DT3162. Ideal Applications Machine Vision Medical Imaging/Diagnostics Scientific Imaging Compatible Windows Software GLOBAL LAB Image/2 DT Vision Foundry DT3162 Variable-Scan Monochrome Frame Grabber for the PCI Bus Key Features High-speed acquisition up to 40 MHz pixel acquire rate allows

More information

Dual Link DVI Receiver Implementation

Dual Link DVI Receiver Implementation Dual Link DVI Receiver Implementation This application note describes some features of single link receivers that must be considered when using 2 devices for a dual link application. Specific characteristics

More information

LogiCORE IP Video Timing Controller v3.0

LogiCORE IP Video Timing Controller v3.0 LogiCORE IP Video Timing Controller v3.0 Product Guide Table of Contents Chapter 1: Overview Standards Compliance....................................................... 6 Feature Summary............................................................

More information

PROCESSOR BASED TIMING SIGNAL GENERATOR FOR RADAR AND SENSOR APPLICATIONS

PROCESSOR BASED TIMING SIGNAL GENERATOR FOR RADAR AND SENSOR APPLICATIONS PROCESSOR BASED TIMING SIGNAL GENERATOR FOR RADAR AND SENSOR APPLICATIONS Application Note ABSTRACT... 3 KEYWORDS... 3 I. INTRODUCTION... 4 II. TIMING SIGNALS USAGE AND APPLICATION... 5 III. FEATURES AND

More information

Memory Interfaces Data Capture Using Direct Clocking Technique Author: Maria George

Memory Interfaces Data Capture Using Direct Clocking Technique Author: Maria George Application Note: Virtex-4 Family XAPP701 (v1.3) September 13, 2005 Memory Interfaces Data Capture Using Direct Clocking Technique Author: Maria George Summary This application note describes the direct-clocking

More information

FPGA Design. Part I - Hardware Components. Thomas Lenzi

FPGA Design. Part I - Hardware Components. Thomas Lenzi FPGA Design Part I - Hardware Components Thomas Lenzi Approach We believe that having knowledge of the hardware components that compose an FPGA allow for better firmware design. Being able to visualise

More information

Digital Blocks Semiconductor IP

Digital Blocks Semiconductor IP Digital Blocks Semiconductor IP DB1825 Color Space Converter & Chroma Resampler General Description The Digital Blocks DB1825 Color Space Converter & Chroma Resampler Verilog IP Core transforms 4:4:4 sampled

More information

8b10b Macro. v2.0. This data sheet defines the functionality of Version 1.0 of the 8b10b macro.

8b10b Macro. v2.0. This data sheet defines the functionality of Version 1.0 of the 8b10b macro. v2.0 8b10b Macro Product Summary Gigabit Ethernet 8b10b Function 125 MHz Operation Transmit and Receive Function isparity and Illegal Code Error Checking Connects directly to industry-standard Gigabit

More information

High-Performance DDR2 SDRAM Interface Data Capture Using ISERDES and OSERDES Author: Maria George

High-Performance DDR2 SDRAM Interface Data Capture Using ISERDES and OSERDES Author: Maria George Application Note: Virtex-4 FPGAs XAPP721 (v2.2) July 29, 2009 High-Performance DD2 SDAM Interface Data Capture Using ISEDES and OSEDES Author: Maria George Summary This application note describes a data

More information

VGA Controller. Leif Andersen, Daniel Blakemore, Jon Parker University of Utah December 19, VGA Controller Components

VGA Controller. Leif Andersen, Daniel Blakemore, Jon Parker University of Utah December 19, VGA Controller Components VGA Controller Leif Andersen, Daniel Blakemore, Jon Parker University of Utah December 19, 2012 Fig. 1. VGA Controller Components 1 VGA Controller Leif Andersen, Daniel Blakemore, Jon Parker University

More information

Digital Blocks Semiconductor IP

Digital Blocks Semiconductor IP Digital Blocks Semiconductor IP DB3 CCIR 656 Encoder General Description The Digital Blocks DB3 CCIR 656 Encoder IP Core encodes 4:2:2 Y CbCr component digital video with synchronization signals to conform

More information

Block Diagram. 16/24/32 etc. pixin pixin_sof pixin_val. Supports 300 MHz+ operation on basic FPGA devices 2 Memory Read/Write Arbiter SYSTEM SIGNALS

Block Diagram. 16/24/32 etc. pixin pixin_sof pixin_val. Supports 300 MHz+ operation on basic FPGA devices 2 Memory Read/Write Arbiter SYSTEM SIGNALS Key Design Features Block Diagram Synthesizable, technology independent IP Core for FPGA, ASIC or SoC Supplied as human readable VHDL (or Verilog) source code Output supports full flow control permitting

More information

CSE140: Components and Design Techniques for Digital Systems. More D-Flip-Flops. Tajana Simunic Rosing. Sources: TSR, Katz, Boriello & Vahid

CSE140: Components and Design Techniques for Digital Systems. More D-Flip-Flops. Tajana Simunic Rosing. Sources: TSR, Katz, Boriello & Vahid CSE140: Components and esign Techniques for igital Systems More -Flip-Flops Tajana Simunic Rosing Where we are now. What we covered last time: SRAM cell, SR latch, latch, -FF What we ll do next: -FF review,

More information

MIPI D-PHY Bandwidth Matrix Table User Guide. UG110 Version 1.0, June 2015

MIPI D-PHY Bandwidth Matrix Table User Guide. UG110 Version 1.0, June 2015 UG110 Version 1.0, June 2015 Introduction MIPI D-PHY Bandwidth Matrix Table User Guide As we move from the world of standard-definition to the high-definition and ultra-high-definition, the common parallel

More information

Digital Blocks Semiconductor IP

Digital Blocks Semiconductor IP Digital Blocks Semiconductor IP General Description The Digital Blocks IP Core decodes an ITU-R BT.656 digital video uncompressed NTSC 720x486 (525/60 Video System) and PAL 720x576 (625/50 Video System)

More information

FPGA Laboratory Assignment 4. Due Date: 06/11/2012

FPGA Laboratory Assignment 4. Due Date: 06/11/2012 FPGA Laboratory Assignment 4 Due Date: 06/11/2012 Aim The purpose of this lab is to help you understanding the fundamentals of designing and testing memory-based processing systems. In this lab, you will

More information

VHDL Design and Implementation of FPGA Based Logic Analyzer: Work in Progress

VHDL Design and Implementation of FPGA Based Logic Analyzer: Work in Progress VHDL Design and Implementation of FPGA Based Logic Analyzer: Work in Progress Nor Zaidi Haron Ayer Keroh +606-5552086 zaidi@utem.edu.my Masrullizam Mat Ibrahim Ayer Keroh +606-5552081 masrullizam@utem.edu.my

More information

SMPTE-259M/DVB-ASI Scrambler/Controller

SMPTE-259M/DVB-ASI Scrambler/Controller SMPTE-259M/DVB-ASI Scrambler/Controller Features Fully compatible with SMPTE-259M Fully compatible with DVB-ASI Operates from a single +5V supply 44-pin PLCC package Encodes both 8- and 10-bit parallel

More information

Why FPGAs? FPGA Overview. Why FPGAs?

Why FPGAs? FPGA Overview. Why FPGAs? Transistor-level Logic Circuits Positive Level-sensitive EECS150 - Digital Design Lecture 3 - Field Programmable Gate Arrays (FPGAs) January 28, 2003 John Wawrzynek Transistor Level clk clk clk Positive

More information

Block Diagram. pixin. pixin_field. pixin_vsync. pixin_hsync. pixin_val. pixin_rdy. pixels_per_line. lines_per_field. pixels_per_line [11:0]

Block Diagram. pixin. pixin_field. pixin_vsync. pixin_hsync. pixin_val. pixin_rdy. pixels_per_line. lines_per_field. pixels_per_line [11:0] Rev 13 Key Design Features Block Diagram Synthesizable, technology independent IP Core for FPGA and ASIC Supplied as human readable VHDL (or Verilog) source code reset deint_mode 24-bit RGB video support

More information

Although the examples given in this application note are based on the ZX-24, the principles can be equally well applied to the other ZX processors.

Although the examples given in this application note are based on the ZX-24, the principles can be equally well applied to the other ZX processors. ZBasic Application Note Introduction On more complex projects it is often the case that more I/O lines are needed than the number that are available on the chosen processor. In this situation, you might

More information

Outcomes. Spiral 1 / Unit 6. Flip-Flops FLIP FLOPS AND REGISTERS. Flip-flops and Registers. Outputs only change once per clock period

Outcomes. Spiral 1 / Unit 6. Flip-Flops FLIP FLOPS AND REGISTERS. Flip-flops and Registers. Outputs only change once per clock period 1-6.1 1-6.2 Outcomes Spiral 1 / Unit 6 Flip-flops and Registers I know the difference between combinational and sequential logic and can name examples of each. I understand latency, throughput, and at

More information

DLP Pico Chipset Interface Manual

DLP Pico Chipset Interface Manual Data Sheet TI DN 2510477 Rev A May 2009 DLP Pico Chipset Interface Manual Data Sheet TI DN 2510477 Rev A May 2009 IMPORTANT NOTICE BEFORE USING TECHNICAL INFORMATION, THE USER SHOULD CAREFULLY READ THE

More information

UG0682 User Guide. Pattern Generator. February 2018

UG0682 User Guide. Pattern Generator. February 2018 UG0682 User Guide Pattern Generator February 2018 Contents 1 Revision History... 1 1.1 Revision 2.0... 1 1.2 Revision 1.0... 1 2 Introduction... 2 3 Hardware Implementation... 3 3.1 Inputs and Outputs...

More information

DT3130 Series for Machine Vision

DT3130 Series for Machine Vision Compatible Windows Software DT Vision Foundry GLOBAL LAB /2 DT3130 Series for Machine Vision Simultaneous Frame Grabber Boards for the Key Features Contains the functionality of up to three frame grabbers

More information

SDI Audio IP Cores User Guide

SDI Audio IP Cores User Guide SDI Audio IP Cores User Guide Subscribe Last updated for Quartus Prime Design Suite: 16.0 UG-SDI-AUD 101 Innovation Drive San Jose, CA 95134 www.altera.com TOC-2 Contents SDI Audio IP Cores Overview...1-1

More information

Altera JESD204B IP Core and ADI AD9144 Hardware Checkout Report

Altera JESD204B IP Core and ADI AD9144 Hardware Checkout Report 2015.12.18 Altera JESD204B IP Core and ADI AD9144 Hardware Checkout Report AN-749 Subscribe The Altera JESD204B IP core is a high-speed point-to-point serial interface intellectual property (IP). The JESD204B

More information

Implementing Audio IP in SDI II on Arria V Development Board

Implementing Audio IP in SDI II on Arria V Development Board Implementing Audio IP in SDI II on Arria V Development Board AN-697 Subscribe This document describes a reference design that uses the Audio Embed, Audio Extract, Clocked Audio Input and Clocked Audio

More information

Lattice Embedded Vision Development Kit User Guide

Lattice Embedded Vision Development Kit User Guide FPGA-UG-02015 Version 1.1 January 2018 Contents Acronyms in This Document... 3 1. Introduction... 4 2. Functional Description... 5 CrossLink... 5 ECP5... 6 SiI1136... 6 3. Demo Requirements... 7 CrossLink

More information

EECS150 - Digital Design Lecture 13 - Project Description, Part 3 of? Project Overview

EECS150 - Digital Design Lecture 13 - Project Description, Part 3 of? Project Overview EECS150 - Digital Design Lecture 13 - Project Description, Part 3 of? March 3, 2009 John Wawrzynek Spring 2009 EECS150 - Lec13-proj3 Page 1 Project Overview A. MIPS150 pipeline structure B. Memories, project

More information

SignalTap Plus System Analyzer

SignalTap Plus System Analyzer SignalTap Plus System Analyzer June 2000, ver. 1 Data Sheet Features Simultaneous internal programmable logic device (PLD) and external (board-level) logic analysis 32-channel external logic analyzer 166

More information

Achieving Timing Closure in ALTERA FPGAs

Achieving Timing Closure in ALTERA FPGAs Achieving Timing Closure in ALTERA FPGAs Course Description This course provides all necessary theoretical and practical know-how to write system timing constraints for variety designs in ALTERA FPGAs.

More information

L11/12: Reconfigurable Logic Architectures

L11/12: Reconfigurable Logic Architectures L11/12: Reconfigurable Logic Architectures Acknowledgements: Materials in this lecture are courtesy of the following people and used with permission. - Randy H. Katz (University of California, Berkeley,

More information

L12: Reconfigurable Logic Architectures

L12: Reconfigurable Logic Architectures L12: Reconfigurable Logic Architectures Acknowledgements: Materials in this lecture are courtesy of the following sources and are used with permission. Frank Honore Prof. Randy Katz (Unified Microelectronics

More information

SDO SDI MODE SCLK MODE

SDO SDI MODE SCLK MODE FEATURES N-SYSTEM PROGRAMMABLE (5-V ONLY) 4-Wire Serial Programming nterface Minimum,000 Program/Erase Cycles Built-in Pull-own on S Pin Eliminates iscrete Resistor on Board (ispgal22vc Only) HGH PERFORMANCE

More information

Design and analysis of microcontroller system using AMBA- Lite bus

Design and analysis of microcontroller system using AMBA- Lite bus Design and analysis of microcontroller system using AMBA- Lite bus Wang Hang Suan 1,*, and Asral Bahari Jambek 1 1 School of Microelectronic Engineering, Universiti Malaysia Perlis, Perlis, Malaysia Abstract.

More information

CSCB58 - Lab 4. Prelab /3 Part I (in-lab) /1 Part II (in-lab) /1 Part III (in-lab) /2 TOTAL /8

CSCB58 - Lab 4. Prelab /3 Part I (in-lab) /1 Part II (in-lab) /1 Part III (in-lab) /2 TOTAL /8 CSCB58 - Lab 4 Clocks and Counters Learning Objectives The purpose of this lab is to learn how to create counters and to be able to control when operations occur when the actual clock rate is much faster.

More information

Overview: Logic BIST

Overview: Logic BIST VLSI Design Verification and Testing Built-In Self-Test (BIST) - 2 Mohammad Tehranipoor Electrical and Computer Engineering University of Connecticut 23 April 2007 1 Overview: Logic BIST Motivation Built-in

More information

Digital video interface - Gigabit video interface (GVIF) for multimedia systems

Digital video interface - Gigabit video interface (GVIF) for multimedia systems Digital video interface - Gigabit video interface (GVIF) for multimedia systems 2012-10-22 1 Oct. 22 2012 In-car Security Camera (Sensor) Background With the spread of car navigation, in-car entertainment

More information

AD9884A Evaluation Kit Documentation

AD9884A Evaluation Kit Documentation a (centimeters) AD9884A Evaluation Kit Documentation Includes Documentation for: - AD9884A Evaluation Board - SXGA Panel Driver Board Rev 0 1/4/2000 Evaluation Board Documentation For the AD9884A Purpose

More information

Polar Decoder PD-MS 1.1

Polar Decoder PD-MS 1.1 Product Brief Polar Decoder PD-MS 1.1 Main Features Implements multi-stage polar successive cancellation decoder Supports multi-stage successive cancellation decoding for 16, 64, 256, 1024, 4096 and 16384

More information

AbhijeetKhandale. H R Bhagyalakshmi

AbhijeetKhandale. H R Bhagyalakshmi Sobel Edge Detection Using FPGA AbhijeetKhandale M.Tech Student Dept. of ECE BMS College of Engineering, Bangalore INDIA abhijeet.khandale@gmail.com H R Bhagyalakshmi Associate professor Dept. of ECE BMS

More information

AN2939 Application note

AN2939 Application note Application note STSMIA832 in a remote video capture system Introduction Parallel-to-serial conversion is a convenient way to reduce interconnection wires, and therefore decrease cost thanks to cheaper

More information

Application Note PG001: Using 36-Channel Logic Analyzer and 36-Channel Digital Pattern Generator for testing a 32-Bit ALU

Application Note PG001: Using 36-Channel Logic Analyzer and 36-Channel Digital Pattern Generator for testing a 32-Bit ALU Application Note PG001: Using 36-Channel Logic Analyzer and 36-Channel Digital Pattern Generator for testing a 32-Bit ALU Version: 1.0 Date: December 14, 2004 Designed and Developed By: System Level Solutions,

More information

2. Logic Elements and Logic Array Blocks in the Cyclone III Device Family

2. Logic Elements and Logic Array Blocks in the Cyclone III Device Family December 2011 CIII51002-2.3 2. Logic Elements and Logic Array Blocks in the Cyclone III Device Family CIII51002-2.3 This chapter contains feature definitions for logic elements (LEs) and logic array blocks

More information

Conceps and trends for Front-end chips in Astroparticle physics

Conceps and trends for Front-end chips in Astroparticle physics Conceps and trends for Front-end chips in Astroparticle physics Eric Delagnes Fabrice Feinstein CEA/DAPNIA Saclay LPTA/IN2P3 Montpellier General interest performances Fast pulses : bandwidth > ~ 300 MHz

More information

SDI Audio IP Cores User Guide

SDI Audio IP Cores User Guide SDI Audio IP Cores User Guide Last updated for Altera Complete Design Suite: 14.0 Subscribe UG-SDI-AUD 101 Innovation Drive San Jose, CA 95134 www.altera.com TOC-2 SDI Audio IP Cores User Guide Contents

More information

Chapter 1 HDMI-FMC Development Kit Chapter 2 Introduction of the HDMI-FMC Card Chapter 3 Using the HDMI-FMC Board...

Chapter 1 HDMI-FMC Development Kit Chapter 2 Introduction of the HDMI-FMC Card Chapter 3 Using the HDMI-FMC Board... Chapter 1 HDMI-FMC Development Kit... 2 1-1 Package Contents... 3 1-2 HDMI-FMC System CD... 3 1-3 Getting Help... 3 Chapter 2 Introduction of the HDMI-FMC Card... 4 2-1 Features... 5 2-2 Block Diagram

More information

Dual Link DVI Receiver Implementation

Dual Link DVI Receiver Implementation Dual Link DVI Receiver Implementation This application note describes some features of single link receivers that must be considered when using 2 devices for a dual link application. Specific characteristics

More information

Intel FPGA SDI II IP Core User Guide

Intel FPGA SDI II IP Core User Guide Intel FPGA SDI II IP Core User Guide Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Intel FPGA SDI II IP Core Quick

More information

Texas Instruments TNETE2201 Ethernet Transceiver Circuit Analysis

Texas Instruments TNETE2201 Ethernet Transceiver Circuit Analysis October 31, 2003 Texas Instruments TNETE2201 Ethernet Transceiver Circuit Analysis Table of Contents List of Figures...Page 1 Introduction...Page 4 Device Summary Sheet...Page 6 Top Level Diagram...Tab

More information

Modeling Latches and Flip-flops

Modeling Latches and Flip-flops Lab Workbook Introduction Sequential circuits are digital circuits in which the output depends not only on the present input (like combinatorial circuits), but also on the past sequence of inputs. In effect,

More information

Learning Outcomes. Unit 13. Sequential Logic BISTABLES, LATCHES, AND FLIP- FLOPS. I understand the difference between levelsensitive

Learning Outcomes. Unit 13. Sequential Logic BISTABLES, LATCHES, AND FLIP- FLOPS. I understand the difference between levelsensitive 1.1 1. Learning Outcomes Unit 1 I understand the difference between levelsensitive and edge-sensitive I understand how to create an edge-triggered FF from latches Sequential Logic onstructs 1. 1.4 Sequential

More information

MC-ACT-DVBMOD April 23, Digital Video Broadcast Modulator Datasheet v1.2. Product Summary

MC-ACT-DVBMOD April 23, Digital Video Broadcast Modulator Datasheet v1.2. Product Summary MC-ACT-DVBMOD April 23, 2004 Digital Video Broadcast Modulator Datasheet v1.2 3721 Valley Centre Drive San Diego, CA 92130 USA Americas: +1 800-752-3040 Europe: +41 (0) 32 374 32 00 Asia: +(852) 2410 2720

More information

VLSI Chip Design Project TSEK06

VLSI Chip Design Project TSEK06 VLSI Chip Design Project TSEK06 Project Description and Requirement Specification Version 1.1 Project: High Speed Serial Link Transceiver Project number: 4 Project Group: Name Project members Telephone

More information

Prototyping an ASIC with FPGAs. By Rafey Mahmud, FAE at Synplicity.

Prototyping an ASIC with FPGAs. By Rafey Mahmud, FAE at Synplicity. Prototyping an ASIC with FPGAs By Rafey Mahmud, FAE at Synplicity. With increased capacity of FPGAs and readily available off-the-shelf prototyping boards sporting multiple FPGAs, it has become feasible

More information

Camera Interface Guide

Camera Interface Guide Camera Interface Guide Table of Contents Video Basics... 5-12 Introduction...3 Video formats...3 Standard analog format...3 Blanking intervals...4 Vertical blanking...4 Horizontal blanking...4 Sync Pulses...4

More information

Design of VGA Controller using VHDL for LCD Display using FPGA

Design of VGA Controller using VHDL for LCD Display using FPGA International OPEN ACCESS Journal Of Modern Engineering Research (IJMER) Design of VGA Controller using VHDL for LCD Display using FPGA Khan Huma Aftab 1, Monauwer Alam 2 1, 2 (Department of ECE, Integral

More information

Scan. This is a sample of the first 15 pages of the Scan chapter.

Scan. This is a sample of the first 15 pages of the Scan chapter. Scan This is a sample of the first 15 pages of the Scan chapter. Note: The book is NOT Pinted in color. Objectives: This section provides: An overview of Scan An introduction to Test Sequences and Test

More information

EN2911X: Reconfigurable Computing Topic 01: Programmable Logic. Prof. Sherief Reda School of Engineering, Brown University Fall 2014

EN2911X: Reconfigurable Computing Topic 01: Programmable Logic. Prof. Sherief Reda School of Engineering, Brown University Fall 2014 EN2911X: Reconfigurable Computing Topic 01: Programmable Logic Prof. Sherief Reda School of Engineering, Brown University Fall 2014 1 Contents 1. Architecture of modern FPGAs Programmable interconnect

More information

TAXI -compatible HOTLink Transceiver

TAXI -compatible HOTLink Transceiver TAXI -compatible HOTLink Transceiver TAXI -compatible HOTLink Transceiver Features Second-generation HOTLink technology AMD AM7968/7969 TAXIchip -compatible 8-bit 4B/5B or 10-bit 5B/6B NRZI encoded data

More information

ASNT8140. ASNT8140-KMC DC-23Gbps PRBS Generator with the (x 7 + x + 1) Polynomial. vee. vcc qp. vcc. vcc qn. qxorp. qxorn. vee. vcc rstn_p.

ASNT8140. ASNT8140-KMC DC-23Gbps PRBS Generator with the (x 7 + x + 1) Polynomial. vee. vcc qp. vcc. vcc qn. qxorp. qxorn. vee. vcc rstn_p. ASNT8140-KMC DC-23Gbps PRBS Generator with the (x 7 + x + 1) Polynomial Full-length (2 7-1) pseudo-random binary sequence (PRBS) generator DC to 23Gbps output data rate Additional output delayed by half

More information

UG0651 User Guide. Scaler. February2018

UG0651 User Guide. Scaler. February2018 UG0651 User Guide Scaler February2018 Contents 1 Revision History... 1 1.1 Revision 5.0... 1 1.2 Revision 4.0... 1 1.3 Revision 3.0... 1 1.4 Revision 2.0... 1 1.5 Revision 1.0... 1 2 Introduction... 2

More information

TAXI -compatible HOTLink Transceiver

TAXI -compatible HOTLink Transceiver TAXI -compatible HOTLink Transceiver Features Second-generation HOTLink technology AMD AM7968/7969 TAXIchip -compatible 8-bit 4B/5B or 10-bit 5B/6B NRZI encoded data transport 10-bit or 12-bit NRZI pre-encoded

More information

OF AN ADVANCED LUT METHODOLOGY BASED FIR FILTER DESIGN PROCESS

OF AN ADVANCED LUT METHODOLOGY BASED FIR FILTER DESIGN PROCESS IMPLEMENTATION OF AN ADVANCED LUT METHODOLOGY BASED FIR FILTER DESIGN PROCESS 1 G. Sowmya Bala 2 A. Rama Krishna 1 PG student, Dept. of ECM. K.L.University, Vaddeswaram, A.P, India, 2 Assistant Professor,

More information

11. JTAG Boundary-Scan Testing in Stratix V Devices

11. JTAG Boundary-Scan Testing in Stratix V Devices ecember 2 SV52-.4. JTAG Boundary-Scan Testing in Stratix V evices SV52-.4 This chapter describes the boundary-scan test (BST) features that are supported in Stratix V devices. Stratix V devices support

More information

Inside Digital Design Accompany Lab Manual

Inside Digital Design Accompany Lab Manual 1 Inside Digital Design, Accompany Lab Manual Inside Digital Design Accompany Lab Manual Simulation Prototyping Synthesis and Post Synthesis Name- Roll Number- Total/Obtained Marks- Instructor Signature-

More information

Digital Design Lab EEN 315 Section H. Project #2 Add & Shift Multiplier. Group #6 Sam Drazin (Partner: Brian Grahn) Lucas Blanck, TA

Digital Design Lab EEN 315 Section H. Project #2 Add & Shift Multiplier. Group #6 Sam Drazin (Partner: Brian Grahn) Lucas Blanck, TA igital esign Lab EEN 315 Section H Project #2 dd & Shift Multiplier Group #6 Sam razin (Partner: rian Grahn) Lucas lanck, T University of Miami pril 7, 2008 1 bstract The purpose of this project was to

More information

VARIABLE FREQUENCY CLOCKING HARDWARE

VARIABLE FREQUENCY CLOCKING HARDWARE VARIABLE FREQUENCY CLOCKING HARDWARE Variable-Frequency Clocking Hardware Many complex digital systems have components clocked at different frequencies Reason 1: to reduce power dissipation The active

More information

FPGA Development for Radar, Radio-Astronomy and Communications

FPGA Development for Radar, Radio-Astronomy and Communications John-Philip Taylor Room 7.03, Department of Electrical Engineering, Menzies Building, University of Cape Town Cape Town, South Africa 7701 Tel: +27 82 354 6741 email: tyljoh010@myuct.ac.za Internet: http://www.uct.ac.za

More information

RAPID SOC PROOF-OF-CONCEPT FOR ZERO COST JEFF MILLER, PRODUCT MARKETING AND STRATEGY, MENTOR GRAPHICS PHIL BURR, SENIOR PRODUCT MANAGER, ARM

RAPID SOC PROOF-OF-CONCEPT FOR ZERO COST JEFF MILLER, PRODUCT MARKETING AND STRATEGY, MENTOR GRAPHICS PHIL BURR, SENIOR PRODUCT MANAGER, ARM RAPID SOC PROOF-OF-CONCEPT FOR ZERO COST JEFF MILLER, PRODUCT MARKETING AND STRATEGY, MENTOR GRAPHICS PHIL BURR, SENIOR PRODUCT MANAGER, ARM A M S D E S I G N & V E R I F I C A T I O N W H I T E P A P

More information

Display Interfaces. Display solutions from Inforce. MIPI-DSI to Parallel RGB format

Display Interfaces. Display solutions from Inforce. MIPI-DSI to Parallel RGB format Display Interfaces Snapdragon processors natively support a few popular graphical displays like MIPI-DSI/LVDS and HDMI or a combination of these. HDMI displays that output any of the standard resolutions

More information

Engr354: Digital Logic Circuits

Engr354: Digital Logic Circuits Engr354: igital Circuits Chapter 7 Sequential Elements r. Curtis Nelson Sequential Elements In this chapter you will learn about: circuits that can store information; Basic cells, latches, and flip-flops;

More information

Introduction to Digital Logic Missouri S&T University CPE 2210 Flip-Flops

Introduction to Digital Logic Missouri S&T University CPE 2210 Flip-Flops Introduction to igital Logic Missouri S&T University CPE 2210 Flip-Flops Egemen K. Çetinkaya Egemen K. Çetinkaya epartment of Electrical & Computer Engineering Missouri University of Science and Technology

More information

Sequential Circuit Design: Part 1

Sequential Circuit Design: Part 1 Sequential ircuit esign: Part 1 esign of memory elements Static latches Pseudo-static latches ynamic latches Timing parameters Two-phase clocking locked inverters Krish hakrabarty 1 Sequential Logic FFs

More information

DEDICATED TO EMBEDDED SOLUTIONS

DEDICATED TO EMBEDDED SOLUTIONS DEDICATED TO EMBEDDED SOLUTIONS DESIGN SAFE FPGA INTERNAL CLOCK DOMAIN CROSSINGS ESPEN TALLAKSEN DATA RESPONS SCOPE Clock domain crossings (CDC) is probably the worst source for serious FPGA-bugs that

More information

Configuring and using the DCU2 on the MPC5606S MCU

Configuring and using the DCU2 on the MPC5606S MCU Freescale Semiconductor Document Number: AN4187 Application Note Rev. 0, 11/2010 Configuring and using the DCU2 on the MPC5606S MCU by: Steve McAslan Microcontroller Solutions Group 1 Introduction The

More information

Introduction. Serial In - Serial Out Shift Registers (SISO)

Introduction. Serial In - Serial Out Shift Registers (SISO) Introduction Shift registers are a type of sequential logic circuit, mainly for storage of digital data. They are a group of flip-flops connected in a chain so that the output from one flip-flop becomes

More information