SDO SDI MODE SCLK MODE

Size: px
Start display at page:

Download "SDO SDI MODE SCLK MODE"

Transcription

1 FEATURES N-SYSTEM PROGRAMMABLE (5-V ONLY) 4-Wire Serial Programming nterface Minimum,000 Program/Erase Cycles Built-in Pull-own on S Pin Eliminates iscrete Resistor on Board (ispgal22vc Only) HGH PERFORMANCE E 2 CMOS TECHNOLOGY 5 ns Maximum Propagation elay Fmax 11 MHz 5 ns Maximum from Clock nput to ata Output UltraMOS Advanced CMOS Technology ACTVE PULL-UPS ON ALL LOGC NPUT AN /O PNS COMPATBLE WTH STAN 22V EVCES Fully Function/Fuse-Map/Parametric Compatible with Bipolar and CMOS 22V evices E 2 CELL TECHNOLOGY n-system Programmable Logic 0% Tested/Guaranteed 0% Yields High Speed Electrical Erasure (<0ms) 20 Year ata Retention TEN OUTPUT LOGC MACROCELLS Maximum Flexibility for Complex Logic esigns APPLCATONS NCLUE: MA Control State Machine Control High Speed Graphics Processing Software-riven Hardware Configuration ELECTRONC SGNATURE FOR ENTFCATON ESCRPTON The ispgal22v, at 5ns maximum propagation delay time, combines a high performance CMOS process with Electrically Erasable (E 2 ) floating gate technology to provide the industry's first in-system programmable 22V device E 2 technology offers high speed (<0ms) erase times, providing the ability to reprogram or reconfigure the device quickly and efficiently The generic architecture provides maximum design flexibility by allowing the Output Logic Macrocell () to be configured by the user The ispgal22v is fully function/fuse map/parametric compatible with standard bipolar and CMOS 22V devices The standard PLCC package provides the same functional pinout as the standard 22V PLCC package with No-Connect pins being used for the interface signals Unique test circuitry and reprogrammable cells allow complete AC, C, and functional testing during manufacture As a result, Lattice Semiconductor guarantees 0% field programmability and functionality of all GAL products n addition,,000 erase/ write cycles and data retention in excess of 20 years are guaranteed Specifications ispgal22v FUNCTONAL BLOCK AGRAM SO S MOE S ispgal22v n-system Programmable E 2 CMOS PL Generic Array Logic / PN CONFGURATON PROGRAMMNG LOGC PROGRAMMABLE AN-RAY (132X44) Copyright 1996 Lattice Semiconductor Corp All brand or product names are trademarks or registered trademarks of their respective holders The specifications and information herein are subject to change without notice LATTCE SEMCONUCTOR CORP, 5555 Northeast Moore Ct, Hillsboro, Oregon 94, USA 1996 ata Book Tel (503) ; 1---PLS; FAX (503) ; MOE / PLCC S Vcc 2 2 /O/ GN ispgal22v Top View S /O/ /O/ 26 /O/ /O/ /O/ /O/ SO /O/ /O/ /O/ RESET S / MOE GN PRESET 1 ispgal 22V Top View 2 22 /O/ /O/ /O/ /O/ /O/ /O/ /O/ /O/ /O/ /O/ Vcc /O/ /O/ /O/ /O/ /O/ SO /O/ /O/ /O/ /O/ /O/ S isp22v_ ata Book

2 Specifications ispgal22v ORERNG NFORMATON Commercial Grade Specifications T pd (ns) T su (ns) T co (ns) cc (ma) Ordering # ispgal22vc-lj ispgal22vc-lk 2-Lead ispgal22vb-lj 0 ispgal22vc-lj 0 ispgal22vc-lj ndustrial Grade Specifications ispgal22vc-lk 2-Lead ispgal22vb-lj ispgal22vc-lk 2-Lead ispgal22vb-lj T pd (ns) T su (ns) T co (ns) cc (ma) Ordering # 5 ispgal22vc-lj ispgal22vc-lk 2-Lead PT NUMBER ESCRPTON XXXXXXXX _ XX X X X ispgal22vc ispgal22vb evice Name Speed (ns) Grade Blank = Commercial = ndustrial L = Low Power Power J = PLCC K = ata Book

3 Specifications ispgal22v OUTPUT LOGC MACROCELL () The ispgal22v has a variable number of product terms per Of the ten available s, two s have access to eight product terms (pins 1 and 2), two have ten product terms (pins 1 and 26), two have twelve product terms (pins 19 and 25), two have fourteen product terms (pins 20 and 24), and two s have sixteen product terms (pins 21 and 23) n addition to the product terms available for logic, each has an additional product-term dedicated to output enable control The output polarity of each can be individually programmed to be true or inverting, in either combinatorial or registered mode This allows each output to be individually configured as either active high or active low The ispgal22v has a product term for Asynchronous Reset () and a product term for Synchronous Preset () These two product terms are common to all registered s The Asynchronous Reset sets all registers to zero any time this dedicated product term is asserted The Synchronous Preset sets all registers to a logic one on the rising edge of the next clock pulse after this product term is asserted NOTE: The and product terms will force the output of the flip-flop into the same state regardless of the polarity of the output Therefore, a reset operation, which sets the register output to a zero, may result in either a high or low at the output pin, depending on the pin polarity chosen 4 TO 1 MUX 2 TO 1 MUX ispgal22v OUTPUT LOGC MACROCELL () OUTPUT LOGC MACROCELL CONFGURATONS Each of the Macrocells of the ispgal22v has two primary functional modes: registered, and combinatorial /O The modes and the output polarity are set by two bits (SO and ), which are normally controlled by the logic compiler Each of these two primary modes, and the bit settings required to enable them, are described below and on the following page REGSTERE n registered mode the output pin associated with an individual is driven by the output of that s -type flip-flop Logic polarity of the output signal at the pin may be selected by specifying that the output buffer drive either true (active high) or inverted (active low) Output tri-state control is available as an individual product-term for each, and can therefore be defined by a logic equation The flip-flop s / output is fed back into the AN array, with both the true and complement of the feedback available as inputs to the AN array NOTE: n registered mode, the feedback is from the / output of the register, and not from the pin; therefore, a pin defined as registered is an output only, and cannot be used for dynamic /O, as can the combinatorial pins COMBNATORAL /O n combinatorial mode the pin associated with an individual is driven by the output of the sum term gate Logic polarity of the output signal at the pin may be selected by specifying that the output buffer drive either true (active high) or inverted (active low) Output tri-state control is available as an individual product-term for each output, and may be individually set by the compiler as either on (dedicated output), off (dedicated input), or productterm driven (dynamic /O) Feedback into the AN array is from the pin side of the output enable buffer Both polarities (true and inverted) of the pin are fed back into the AN array ata Book

4 Specifications ispgal22v REGSTERE MOE ACTVE LOW ACTVE HGH COMBNATORAL MOE ACTVE LOW ACTVE HGH ata Book

5 Specifications ispgal22v ispgal22v LOGC AGRAM / JEEC FUSE MAP PLCC & Pinout ASYNCHRONOUS RESET (TO ALL REGSTERS) SYNCHRONOUS PRESET (TO ALL REGSTERS) , 529 Electronic Signature 590, 591 Byte Byte 6 Byte 5 Byte 4 Byte 3 Byte 2 Byte 1 Byte 0 M L SB S B ata Book

All Devices Discontinued!

All Devices Discontinued! GAL 26V2 Device Datasheet September 200 All Devices Discontinued! Product Change Notifications (PCNs) have been issued to discontinue all devices in this data sheet The original datasheet pages have not

More information

All Devices Discontinued!

All Devices Discontinued! GAL 22V Device Datasheet September 2 All Devices Discontinued! Product Change Notifications (PCNs) have been issued to discontinue all devices in this data sheet The original datasheet pages have not been

More information

All Devices Discontinued!

All Devices Discontinued! GAL RA Device Datasheet June All Devices Discontinued! Product Change Notification (PCN) #9- has been issued to discontinue all devices in this data sheet. The original datasheet pages have not been modified

More information

GAL20RA10. High-Speed Asynchronous E 2 CMOS PLD Generic Array Logic. Features. Functional Block Diagram PROGRAMMABLE AND-ARRAY (80X40) Description

GAL20RA10. High-Speed Asynchronous E 2 CMOS PLD Generic Array Logic. Features. Functional Block Diagram PROGRAMMABLE AND-ARRAY (80X40) Description GALRA High-Speed Asynchronous E CMOS D Generic Array Logic Features Functional Block Diagram HGH PERFORMANCE E CMOS TECHNOLOGY 7.5 ns Maximum Propagation Delay Fmax = 3.3 MHz 9 ns Maximum from Clock nput

More information

PEEL 18CV8-5/-7/-10/-15/-25 CMOS Programmable Electrically Erasable Logic Device

PEEL 18CV8-5/-7/-10/-15/-25 CMOS Programmable Electrically Erasable Logic Device PEEL 18V8-5/-7/-10/-15/-25 MOS Programmable Electrically Erasable Logic Device Multiple Speed, Power, Temperature Options Speeds ranging from 5ns to 25ns Power as low as 37mA at 25MHz ommercial and ndustrial

More information

USE GAL DEVICES FOR NEW DESIGNS

USE GAL DEVICES FOR NEW DESIGNS USE GAL DEVICES FOR NEW DESIGNS FINAL COM L: H-7//5/2 IND: H-/5/2 PALCE26V2 Family 28-Pin EE CMOS Versatile PAL Device DISTINCTIVE CHACTERISTICS 28-pin versatile PAL programmable logic device architecture

More information

PALCE26V12 Family. 28-Pin EE CMOS Versatile PAL Device DISTINCTIVE CHARACTERISTICS GENERAL DESCRIPTION FINAL COM L: H-7/10/15/20 IND: H-10/15/20

PALCE26V12 Family. 28-Pin EE CMOS Versatile PAL Device DISTINCTIVE CHARACTERISTICS GENERAL DESCRIPTION FINAL COM L: H-7/10/15/20 IND: H-10/15/20 FINAL COM L: H-7//5/2 IND: H-/5/2 PALCE26V2 Family 28-Pin EE CMOS Versatile PAL Device DISTINCTIVE CHACTERISTICS 28-pin versatile PAL programmable logic device architecture Electrically erasable CMOS technology

More information

A Tour of PLDs. PLD ARCHITECTURES. [Prof.Ben-Avi]

A Tour of PLDs. PLD ARCHITECTURES. [Prof.Ben-Avi] [Prof.Ben-Avi]. (We shall now take a quick initial tour through the land of PLDs... the devices selected for this introductory tour have been chosen either because they are/were extremely popular or because

More information

When the OR-array is pre-programed (fixed) and the AND-array. is programmable, you have what is known as a PAL/GAL. These are very low

When the OR-array is pre-programed (fixed) and the AND-array. is programmable, you have what is known as a PAL/GAL. These are very low 11/6/211 1 OF 6 5.5.3 PROGRAMMABLE ARRAY LOGIC (PAL). When the OR-array is pre-programed (fixed) and the AND-array is programmable, you have what is known as a PAL/GAL. These are very low cost replacements

More information

ispmach 4000 Timing Model Design and Usage Guidelines

ispmach 4000 Timing Model Design and Usage Guidelines September 2001 Introduction Technical Note TN1004 When implementing a design into an ispmach 4000 family device, it is often critical to understand how the placement of the design will affect the timing.

More information

MACH220-10/12/15/20. Lattice Semiconductor. High-Density EE CMOS Programmable Logic DISTINCTIVE CHARACTERISTICS GENERAL DESCRIPTION BLOCK DIAGRAM

MACH220-10/12/15/20. Lattice Semiconductor. High-Density EE CMOS Programmable Logic DISTINCTIVE CHARACTERISTICS GENERAL DESCRIPTION BLOCK DIAGRAM FINAL COM L: -10/12/15/20 IND: -14/18/24 MACH220-10/12/15/20 High-Density EE CMOS Programmable Logic Lattice Semiconductor DISTINCTIVE CHARACTERISTICS 8 Pins 9 10 ns tpd 100 MHz fcnt 5 Inputs with pull-up

More information

Chapter 7 Memory and Programmable Logic

Chapter 7 Memory and Programmable Logic EEA091 - Digital Logic 數位邏輯 Chapter 7 Memory and Programmable Logic 吳俊興國立高雄大學資訊工程學系 2006 Chapter 7 Memory and Programmable Logic 7-1 Introduction 7-2 Random-Access Memory 7-3 Memory Decoding 7-4 Error

More information

Combinational vs Sequential

Combinational vs Sequential Combinational vs Sequential inputs X Combinational Circuits outputs Z A combinational circuit: At any time, outputs depends only on inputs Changing inputs changes outputs No regard for previous inputs

More information

UltraLogic 128-Macrocell Flash CPLD

UltraLogic 128-Macrocell Flash CPLD fax id: 6139 CY7C374i Features UltraLogic 128-Macrocell Flash CPLD Functional Description 128 macrocells in eight logic blocks 64 pins 5 dedicated inputs including 4 clock pins In-System Reprogrammable

More information

MACH130-15/20. Lattice/Vantis. High-Density EE CMOS Programmable Logic

MACH130-15/20. Lattice/Vantis. High-Density EE CMOS Programmable Logic FINAL COM L: -15/20 IND: -18/24 MACH130-15/20 High-Density EE CMOS Programmable Logic Lattice/Vantis DISTINCTIVE CHARACTERISTICS 84 Pins 64 cells 15 ns tpd Commercial 18 ns tpd Industrial 66.6 MHz fcnt

More information

Microcontrollers and Interfacing week 7 exercises

Microcontrollers and Interfacing week 7 exercises SERIL TO PRLLEL CONVERSION Serial to parallel conversion Microcontrollers and Interfacing week exercises Using many LEs (e.g., several seven-segment displays or bar graphs) is difficult, because only a

More information

MUHAMMAD NAEEM LATIF MCS 3 RD SEMESTER KHANEWAL

MUHAMMAD NAEEM LATIF MCS 3 RD SEMESTER KHANEWAL 1. A stage in a shift register consists of (a) a latch (b) a flip-flop (c) a byte of storage (d) from bits of storage 2. To serially shift a byte of data into a shift register, there must be (a) one click

More information

2.6 Reset Design Strategy

2.6 Reset Design Strategy 2.6 Reset esign Strategy Many design issues must be considered before choosing a reset strategy for an ASIC design, such as whether to use synchronous or asynchronous resets, will every flipflop receive

More information

March 13, :36 vra80334_appe Sheet number 1 Page number 893 black. appendix. Commercial Devices

March 13, :36 vra80334_appe Sheet number 1 Page number 893 black. appendix. Commercial Devices March 13, 2007 14:36 vra80334_appe Sheet number 1 Page number 893 black appendix E Commercial Devices In Chapter 3 we described the three main types of programmable logic devices (PLDs): simple PLDs, complex

More information

INTEGRATED CIRCUITS. PZ macrocell CPLD. Product specification 1997 Feb 20 IC27 Data Handbook

INTEGRATED CIRCUITS. PZ macrocell CPLD. Product specification 1997 Feb 20 IC27 Data Handbook INTEGRATED CIRCUITS 1997 Feb 20 IC27 Data Handbook FEATURES Industry s first TotalCMOS PLD both CMOS design and process technologies Fast Zero Power (FZP ) design technique provides ultra-low power and

More information

INTEGRATED CIRCUITS. PZ macrocell CPLD. Product specification 1997 Mar 05 IC27 Data Handbook

INTEGRATED CIRCUITS. PZ macrocell CPLD. Product specification 1997 Mar 05 IC27 Data Handbook INTEGRATED CIRCUITS 1997 Mar 05 IC27 Data Handbook FEATURES Industry s first TotalCMOS PLD both CMOS design and process technologies Fast Zero Power (FZP ) design technique provides ultra-low power and

More information

1. Convert the decimal number to binary, octal, and hexadecimal.

1. Convert the decimal number to binary, octal, and hexadecimal. 1. Convert the decimal number 435.64 to binary, octal, and hexadecimal. 2. Part A. Convert the circuit below into NAND gates. Insert or remove inverters as necessary. Part B. What is the propagation delay

More information

Chapter 6. sequential logic design. This is the beginning of the second part of this course, sequential logic.

Chapter 6. sequential logic design. This is the beginning of the second part of this course, sequential logic. Chapter 6. sequential logic design This is the beginning of the second part of this course, sequential logic. equential logic equential circuits simple circuits with feedback latches edge-triggered flip-flops

More information

Field Programmable Gate Arrays (FPGAs)

Field Programmable Gate Arrays (FPGAs) Field Programmable Gate Arrays (FPGAs) Introduction Simulations and prototyping have been a very important part of the electronics industry since a very long time now. Before heading in for the actual

More information

Lecture 8: Sequential Logic

Lecture 8: Sequential Logic Lecture 8: Sequential Logic Last lecture discussed how we can use digital electronics to do combinatorial logic we designed circuits that gave an immediate output when presented with a given set of inputs

More information

ECEN454 Digital Integrated Circuit Design. Sequential Circuits. Sequencing. Output depends on current inputs

ECEN454 Digital Integrated Circuit Design. Sequential Circuits. Sequencing. Output depends on current inputs ECEN454 igital Integrated Circuit esign Sequential Circuits ECEN 454 Combinational logic Sequencing Output depends on current inputs Sequential logic Output depends on current and previous inputs Requires

More information

D Latch (Transparent Latch)

D Latch (Transparent Latch) D Latch (Transparent Latch) -One way to eliminate the undesirable condition of the indeterminate state in the SR latch is to ensure that inputs S and R are never equal to 1 at the same time. This is done

More information

EE292: Fundamentals of ECE

EE292: Fundamentals of ECE EE292: Fundamentals of ECE Fall 2012 TTh 10:00-11:15 SEB 1242 Lecture 23 121120 http://www.ee.unlv.edu/~b1morris/ee292/ 2 Outline Review Combinatorial Logic Sequential Logic 3 Combinatorial Logic Circuits

More information

UltraLogic 128-Macrocell ISR CPLD

UltraLogic 128-Macrocell ISR CPLD 256 PRELIMINARY Features 128 macrocells in eight logic blocks In-System Reprogrammable (ISR ) JTAG-compliant on-board programming Design changes don t cause pinout changes Design changes don t cause timing

More information

The outputs are formed by a combinational logic function of the inputs to the circuit or the values stored in the flip-flops (or both).

The outputs are formed by a combinational logic function of the inputs to the circuit or the values stored in the flip-flops (or both). 1 The outputs are formed by a combinational logic function of the inputs to the circuit or the values stored in the flip-flops (or both). The value that is stored in a flip-flop when the clock pulse occurs

More information

Final Exam review: chapter 4 and 5. Supplement 3 and 4

Final Exam review: chapter 4 and 5. Supplement 3 and 4 Final Exam review: chapter 4 and 5. Supplement 3 and 4 1. A new type of synchronous flip-flop has the following characteristic table. Find the corresponding excitation table with don t cares used as much

More information

VTU NOTES QUESTION PAPERS NEWS RESULTS FORUMS Registers

VTU NOTES QUESTION PAPERS NEWS RESULTS FORUMS Registers Registers Registers are a very important digital building block. A data register is used to store binary information appearing at the output of an encoding matrix.shift registers are a type of sequential

More information

NH 67, Karur Trichy Highways, Puliyur C.F, Karur District UNIT-III SEQUENTIAL CIRCUITS

NH 67, Karur Trichy Highways, Puliyur C.F, Karur District UNIT-III SEQUENTIAL CIRCUITS NH 67, Karur Trichy Highways, Puliyur C.F, 639 114 Karur District DEPARTMENT OF ELETRONICS AND COMMUNICATION ENGINEERING COURSE NOTES SUBJECT: DIGITAL ELECTRONICS CLASS: II YEAR ECE SUBJECT CODE: EC2203

More information

VU Mobile Powered by S NO Group

VU Mobile Powered by S NO Group Question No: 1 ( Marks: 1 ) - Please choose one A 8-bit serial in / parallel out shift register contains the value 8, clock signal(s) will be required to shift the value completely out of the register.

More information

IT T35 Digital system desigm y - ii /s - iii

IT T35 Digital system desigm y - ii /s - iii UNIT - III Sequential Logic I Sequential circuits: latches flip flops analysis of clocked sequential circuits state reduction and assignments Registers and Counters: Registers shift registers ripple counters

More information

CPS311 Lecture: Sequential Circuits

CPS311 Lecture: Sequential Circuits CPS311 Lecture: Sequential Circuits Last revised August 4, 2015 Objectives: 1. To introduce asynchronous and synchronous flip-flops (latches and pulsetriggered, plus asynchronous preset/clear) 2. To introduce

More information

CSE140L: Components and Design Techniques for Digital Systems Lab. FSMs. Tajana Simunic Rosing. Source: Vahid, Katz

CSE140L: Components and Design Techniques for Digital Systems Lab. FSMs. Tajana Simunic Rosing. Source: Vahid, Katz CSE140L: Components and Design Techniques for Digital Systems Lab FSMs Tajana Simunic Rosing Source: Vahid, Katz 1 Flip-flops Hardware Description Languages and Sequential Logic representation of clocks

More information

Figure 1: segment of an unprogrammed and programmed PAL.

Figure 1: segment of an unprogrammed and programmed PAL. PROGRAMMABLE ARRAY LOGIC The PAL device is a special case of PLA which has a programmable AND array and a fixed OR array. The basic structure of Rom is same as PLA. It is cheap compared to PLA as only

More information

`COEN 312 DIGITAL SYSTEMS DESIGN - LECTURE NOTES Concordia University

`COEN 312 DIGITAL SYSTEMS DESIGN - LECTURE NOTES Concordia University `OEN 32 IGITL SYSTEMS ESIGN - LETURE NOTES oncordia University hapter 5: Synchronous Sequential Logic NOTE: For more eamples and detailed description of the material in the lecture notes, please refer

More information

L4: Sequential Building Blocks (Flip-flops, Latches and Registers)

L4: Sequential Building Blocks (Flip-flops, Latches and Registers) L4: Sequential Building Blocks (Flip-flops, Latches and Registers) Acknowledgements: Lecture material adapted from R. Katz, G. Borriello, Contemporary Logic esign (second edition), Prentice-Hall/Pearson

More information

CHAPTER 11 LATCHES AND FLIP-FLOPS

CHAPTER 11 LATCHES AND FLIP-FLOPS CHAPTER 11 1/25 LATCHES AND FLIP-FLOPS This chapter in the book includes: Objectives Study Guide 11.1 Introduction 11.2 Set-Reset Latch 11.3 Gated D Latch 11.4 Edge-Triggered D Flip-Flop 11.5 S-R Flip-Flop

More information

Single Channel LVDS Tx

Single Channel LVDS Tx April 2013 Introduction Reference esign R1162 Low Voltage ifferential Signaling (LVS) is an electrical signaling system that can run at very high speeds over inexpensive twisted-pair copper cables. It

More information

Introduction Actel Logic Modules Xilinx LCA Altera FLEX, Altera MAX Power Dissipation

Introduction Actel Logic Modules Xilinx LCA Altera FLEX, Altera MAX Power Dissipation Outline CPE 528: Session #12 Department of Electrical and Computer Engineering University of Alabama in Huntsville Introduction Actel Logic Modules Xilinx LCA Altera FLEX, Altera MAX Power Dissipation

More information

SMPTE-259M/DVB-ASI Scrambler/Controller

SMPTE-259M/DVB-ASI Scrambler/Controller SMPTE-259M/DVB-ASI Scrambler/Controller Features Fully compatible with SMPTE-259M Fully compatible with DVB-ASI Operates from a single +5V supply 44-pin PLCC package Encodes both 8- and 10-bit parallel

More information

Efficient Architecture for Flexible Prescaler Using Multimodulo Prescaler

Efficient Architecture for Flexible Prescaler Using Multimodulo Prescaler Efficient Architecture for Flexible Using Multimodulo G SWETHA, S YUVARAJ Abstract This paper, An Efficient Architecture for Flexible Using Multimodulo is an architecture which is designed from the proposed

More information

4-BIT PARALLEL-TO-SERIAL CONVERTER

4-BIT PARALLEL-TO-SERIAL CONVERTER 4-BIT PARALLEL-TO-SERIAL CONVERTER FEATURES DESCRIPTION On-chip clock 4 and 8 Extended 00E VEE range of 4.2V to 5.5V.6Gb/s typical data rate capability Differential clock and serial inputs VBB output for

More information

Logic Design. Flip Flops, Registers and Counters

Logic Design. Flip Flops, Registers and Counters Logic Design Flip Flops, Registers and Counters Introduction Combinational circuits: value of each output depends only on the values of inputs Sequential Circuits: values of outputs depend on inputs and

More information

Outline. CPE/EE 422/522 Advanced Logic Design L03. Review: Clocked D Flip-Flop with Rising-edge Trigger. Sequential Networks

Outline. CPE/EE 422/522 Advanced Logic Design L03. Review: Clocked D Flip-Flop with Rising-edge Trigger. Sequential Networks Outline PE/EE 422/522 Advanced Logic Design L3 Electrical and omputer Engineering University of Alabama in Huntsville What we know ombinational Networks Analysis, Synthesis, Simplification, Buiing Blocks,

More information

B. Sc. III Semester (Electronics) - ( ) Digital Electronics-II) BE-301 MODEL ANSWER (AS-2791)

B. Sc. III Semester (Electronics) - ( ) Digital Electronics-II) BE-301 MODEL ANSWER (AS-2791) B. Sc. III Semester (Electronics) - (2013-14) Digital Electronics-II) BE-301 MODEL ANSWER (AS-2791) Section-[A] i. (B) ii. (A) iii. (D) iv. (C) v. (C) vi. (C) vii. (D) viii. (B) Ans-(ix): In JK flip flop

More information

Logic Devices for Interfacing, The 8085 MPU Lecture 4

Logic Devices for Interfacing, The 8085 MPU Lecture 4 Logic Devices for Interfacing, The 8085 MPU Lecture 4 1 Logic Devices for Interfacing Tri-State devices Buffer Bidirectional Buffer Decoder Encoder D Flip Flop :Latch and Clocked 2 Tri-state Logic Outputs

More information

L11/12: Reconfigurable Logic Architectures

L11/12: Reconfigurable Logic Architectures L11/12: Reconfigurable Logic Architectures Acknowledgements: Materials in this lecture are courtesy of the following people and used with permission. - Randy H. Katz (University of California, Berkeley,

More information

cascading flip-flops for proper operation clock skew Hardware description languages and sequential logic

cascading flip-flops for proper operation clock skew Hardware description languages and sequential logic equential logic equential circuits simple circuits with feedback latches edge-triggered flip-flops Timing methodologies cascading flip-flops for proper operation clock skew Basic registers shift registers

More information

Computer Organization & Architecture Lecture #5

Computer Organization & Architecture Lecture #5 Computer Organization & Architecture Lecture #5 Shift Register A shift register is a register in which binary data can be stored and then shifted left or right when a shift signal is applied. Bits shifted

More information

DIGITAL CIRCUIT LOGIC UNIT 9: MULTIPLEXERS, DECODERS, AND PROGRAMMABLE LOGIC DEVICES

DIGITAL CIRCUIT LOGIC UNIT 9: MULTIPLEXERS, DECODERS, AND PROGRAMMABLE LOGIC DEVICES DIGITAL CIRCUIT LOGIC UNIT 9: MULTIPLEXERS, DECODERS, AND PROGRAMMABLE LOGIC DEVICES 1 Learning Objectives 1. Explain the function of a multiplexer. Implement a multiplexer using gates. 2. Explain the

More information

DP8212 DP8212M 8-Bit Input Output Port

DP8212 DP8212M 8-Bit Input Output Port DP8212 DP8212M 8-Bit Input Output Port General Description The DP8212 DP8212M is an 8-bit input output port contained in a standard 24-pin dual-in-line package The device which is fabricated using Schottky

More information

V6118 EM MICROELECTRONIC - MARIN SA. 2, 4 and 8 Mutiplex LCD Driver

V6118 EM MICROELECTRONIC - MARIN SA. 2, 4 and 8 Mutiplex LCD Driver EM MICROELECTRONIC - MARIN SA 2, 4 and 8 Mutiplex LCD Driver Description The is a universal low multiplex LCD driver. The version 2 drives two ways multiplex (two blackplanes) LCD, the version 4, four

More information

UNIT 1 NUMBER SYSTEMS AND DIGITAL LOGIC FAMILIES 1. Briefly explain the stream lined method of converting binary to decimal number with example. 2. Give the Gray code for the binary number (111) 2. 3.

More information

Electrically Erasable Programmable Logic Devices as an Aid for Teaching Digital Electronics

Electrically Erasable Programmable Logic Devices as an Aid for Teaching Digital Electronics Iowa State University From the SelectedWorks of Stuart J. Birrell 988 Electrically Erasable Programmable Logic Devices as an Aid for Teaching Digital Electronics Nelson L. Buck, University of Illinois

More information

Chapter 4: One-Shots, Counters, and Clocks

Chapter 4: One-Shots, Counters, and Clocks Chapter 4: One-Shots, Counters, and Clocks I. The Monostable Multivibrator (One-Shot) The timing pulse is one of the most common elements of laboratory electronics. Pulses can control logical sequences

More information

Saturated Non Saturated PMOS NMOS CMOS RTL Schottky TTL ECL DTL I I L TTL

Saturated Non Saturated PMOS NMOS CMOS RTL Schottky TTL ECL DTL I I L TTL EC6302-DIGITAL ELECTRONICS UNIT I MINIMIZATION TECHNIQUES AND LOGIC GATES 1. Define binary logic? Binary logic consists of binary variables and logical operations. The variables are designated by the alphabets

More information

Lecture 11: Sequential Circuit Design

Lecture 11: Sequential Circuit Design Lecture 11: Sequential Circuit esign Outline q Sequencing q Sequencing Element esign q Max and Min-elay q Clock Skew q Time Borrowing q Two-Phase Clocking 2 Sequencing q Combinational logic output depends

More information

PGT104 Digital Electronics. PGT104 Digital Electronics

PGT104 Digital Electronics. PGT104 Digital Electronics 1 Part 5 Latches, Flip-flop and Timers isclaimer: Most of the contents (if not all) are extracted from resources available for igital Fundamentals 10 th Edition 2 Latches A latch is a temporary storage

More information

Counters

Counters Counters A counter is the most versatile and useful subsystems in the digital system. A counter driven by a clock can be used to count the number of clock cycles. Since clock pulses occur at known intervals,

More information

Unit 11. Latches and Flip-Flops

Unit 11. Latches and Flip-Flops Unit 11 Latches and Flip-Flops 1 Combinational Circuits A combinational circuit consists of logic gates whose outputs, at any time, are determined by combining the values of the inputs. For n input variables,

More information

CPE/EE 427, CPE 527 VLSI Design I Sequential Circuits. Sequencing

CPE/EE 427, CPE 527 VLSI Design I Sequential Circuits. Sequencing CPE/EE 427, CPE 527 VLSI esign I Sequential Circuits epartment of Electrical and Computer Engineering University of Alabama in Huntsville Aleksandar Milenkovic ( www.ece.uah.edu/~milenka ) Combinational

More information

L12: Reconfigurable Logic Architectures

L12: Reconfigurable Logic Architectures L12: Reconfigurable Logic Architectures Acknowledgements: Materials in this lecture are courtesy of the following sources and are used with permission. Frank Honore Prof. Randy Katz (Unified Microelectronics

More information

CSE 352 Laboratory Assignment 3

CSE 352 Laboratory Assignment 3 CSE 352 Laboratory Assignment 3 Introduction to Registers The objective of this lab is to introduce you to edge-trigged D-type flip-flops as well as linear feedback shift registers. Chapter 3 of the Harris&Harris

More information

Vignana Bharathi Institute of Technology UNIT 4 DLD

Vignana Bharathi Institute of Technology UNIT 4 DLD DLD UNIT IV Synchronous Sequential Circuits, Latches, Flip-flops, analysis of clocked sequential circuits, Registers, Shift registers, Ripple counters, Synchronous counters, other counters. Asynchronous

More information

UNIT-3: SEQUENTIAL LOGIC CIRCUITS

UNIT-3: SEQUENTIAL LOGIC CIRCUITS UNIT-3: SEQUENTIAL LOGIC CIRCUITS STRUCTURE 3. Objectives 3. Introduction 3.2 Sequential Logic Circuits 3.2. NAND Latch 3.2.2 RS Flip-Flop 3.2.3 D Flip-Flop 3.2.4 JK Flip-Flop 3.2.5 Edge Triggered RS Flip-Flop

More information

Chapter 4. Logic Design

Chapter 4. Logic Design Chapter 4 Logic Design 4.1 Introduction. In previous Chapter we studied gates and combinational circuits, which made by gates (AND, OR, NOT etc.). That can be represented by circuit diagram, truth table

More information

EECS 140 Laboratory Exercise 7 PLD Programming

EECS 140 Laboratory Exercise 7 PLD Programming 1. Objectives EECS 140 Laboratory Exercise 7 PLD Programming A. Become familiar with the capabilities of Programmable Logic Devices (PLDs) B. Implement a simple combinational logic circuit using a PLD.

More information

WINTER 15 EXAMINATION Model Answer

WINTER 15 EXAMINATION Model Answer Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme. 2) The model answer and the answer written by candidate

More information

Digital Logic & Computer Design CS Professor Dan Moldovan Spring Chapter 3 :: Sequential Logic Design

Digital Logic & Computer Design CS Professor Dan Moldovan Spring Chapter 3 :: Sequential Logic Design igital Logic & Computer esign CS 4341 Professor an Moldovan Spring 21 Copyright 27 Elsevier 3- Chapter 3 :: Sequential Logic esign igital esign and Computer Architecture avid Money Harris and Sarah

More information

Digital Fundamentals

Digital Fundamentals igital Fundamentals Tenth Edition Floyd Chapter 7 Modified by Yuttapong Jiraraksopakun Floyd, igital Fundamentals, 10 th 2008 Pearson Education ENE, KMUTT ed 2009 Summary Latches A latch is a temporary

More information

COMP sequential logic 1 Jan. 25, 2016

COMP sequential logic 1 Jan. 25, 2016 OMP 273 5 - sequential logic 1 Jan. 25, 2016 Sequential ircuits All of the circuits that I have discussed up to now are combinational digital circuits. For these circuits, each output is a logical combination

More information

Logic Design II (17.342) Spring Lecture Outline

Logic Design II (17.342) Spring Lecture Outline Logic Design II (17.342) Spring 2012 Lecture Outline Class # 03 February 09, 2012 Dohn Bowden 1 Today s Lecture Registers and Counters Chapter 12 2 Course Admin 3 Administrative Admin for tonight Syllabus

More information

SN74F161A SYNCHRONOUS 4-BIT BINARY COUNTER

SN74F161A SYNCHRONOUS 4-BIT BINARY COUNTER Internal Look-Ahead Circuitry for Fast Counting Carry Output for N-Bit Cascading Fully Synchronous Operation for Counting Package Optio Include Plastic Small-Outline Packages and Standard Plastic 300-mil

More information

SignalTap Plus System Analyzer

SignalTap Plus System Analyzer SignalTap Plus System Analyzer June 2000, ver. 1 Data Sheet Features Simultaneous internal programmable logic device (PLD) and external (board-level) logic analysis 32-channel external logic analyzer 166

More information

3/5/2017. A Register Stores a Set of Bits. ECE 120: Introduction to Computing. Add an Input to Control Changing a Register s Bits

3/5/2017. A Register Stores a Set of Bits. ECE 120: Introduction to Computing. Add an Input to Control Changing a Register s Bits University of Illinois at Urbana-Champaign Dept. of Electrical and Computer Engineering ECE 120: Introduction to Computing Registers A Register Stores a Set of Bits Most of our representations use sets

More information

EECS 270 Group Homework 4 Due Friday. June half credit if turned in by June

EECS 270 Group Homework 4 Due Friday. June half credit if turned in by June EES 270 Group Homework 4 ue Friday. June 1st @9:45am, half credit if turned in by June 1st @4pm. Name: unique name: Name: unique name: Name: unique name: This is a group assignment; all of the work should

More information

APPLICATION NOTE. XCR5128C: 128 Macrocell CPLD with Enhanced Clocking. Features. Description

APPLICATION NOTE. XCR5128C: 128 Macrocell CPLD with Enhanced Clocking. Features. Description APPLICATION NOTE 0 XC5128C: 128 Macrocell CPLD with Enhanced Clocking DS042 (v1.1) February 10, 2000 0 14* Product Specification Features Industry's first TotalCMOS PLD - both CMOS design and process technologies

More information

Logic Design Viva Question Bank Compiled By Channveer Patil

Logic Design Viva Question Bank Compiled By Channveer Patil Logic Design Viva Question Bank Compiled By Channveer Patil Title of the Practical: Verify the truth table of logic gates AND, OR, NOT, NAND and NOR gates/ Design Basic Gates Using NAND/NOR gates. Q.1

More information

Flip-Flops. Because of this the state of the latch may keep changing in circuits with feedback as long as the clock pulse remains active.

Flip-Flops. Because of this the state of the latch may keep changing in circuits with feedback as long as the clock pulse remains active. Flip-Flops Objectives The objectives of this lesson are to study: 1. Latches versus Flip-Flops 2. Master-Slave Flip-Flops 3. Timing Analysis of Master-Slave Flip-Flops 4. Different Types of Master-Slave

More information

Solution to Digital Logic )What is the magnitude comparator? Design a logic circuit for 4 bit magnitude comparator and explain it,

Solution to Digital Logic )What is the magnitude comparator? Design a logic circuit for 4 bit magnitude comparator and explain it, Solution to Digital Logic -2067 Solution to digital logic 2067 1.)What is the magnitude comparator? Design a logic circuit for 4 bit magnitude comparator and explain it, A Magnitude comparator is a combinational

More information

Scan. This is a sample of the first 15 pages of the Scan chapter.

Scan. This is a sample of the first 15 pages of the Scan chapter. Scan This is a sample of the first 15 pages of the Scan chapter. Note: The book is NOT Pinted in color. Objectives: This section provides: An overview of Scan An introduction to Test Sequences and Test

More information

Sequential logic. Circuits with feedback. How to control feedback? Sequential circuits. Timing methodologies. Basic registers

Sequential logic. Circuits with feedback. How to control feedback? Sequential circuits. Timing methodologies. Basic registers equential logic equential circuits simple circuits with feedback latches edge-triggered flip-flops Timing methodologies cascading flip-flops for proper operation clock skew Basic registers shift registers

More information

Lecture 10: Programmable Logic

Lecture 10: Programmable Logic Lecture 10: Programmable Logic We ve spent the past couple of lectures going over some of the applications of digital logic And we can easily think of more useful things to do like having a 7-segment LED

More information

PZ5128C/PZ5128N 128 macrocell CPLD with enhanced clocking

PZ5128C/PZ5128N 128 macrocell CPLD with enhanced clocking INTEGRATED CIRCUITS 128 macrocell CPLD with enhanced clocking Supersedes data of 1998 Apr 30 IC27 Data Handbook 1998 Jul 23 FEATURES Industry s first TotalCMOS PLD both CMOS design and process technologies

More information

Page 1) 7 points Page 2) 16 points Page 3) 22 points Page 4) 21 points Page 5) 22 points Page 6) 12 points. TOTAL out of 100

Page 1) 7 points Page 2) 16 points Page 3) 22 points Page 4) 21 points Page 5) 22 points Page 6) 12 points. TOTAL out of 100 EE3701 Dr. Gugel Spring 2014 Exam II ast Name First Open book/open notes, 90-minutes. Calculators are permitted. Write on the top of each page only. Page 1) 7 points Page 2) 16 points Page 3) 22 points

More information

MACH 4 Timing and High Speed Design

MACH 4 Timing and High Speed Design MACH 4 Timing and High Speed Design INTRODUCTION When implementing a design into a MACH 4 device, it is often critical to understand how the placement of the design will affect the timing. The MACH 4 device

More information

12/31/2010. Overview. 12-Latches and Flip Flops Text: Unit 11. Sequential Circuits. Sequential Circuits. Feedback. Feedback

12/31/2010. Overview. 12-Latches and Flip Flops Text: Unit 11. Sequential Circuits. Sequential Circuits. Feedback. Feedback 2/3/2 Overview 2-atches and Flip Flops Text: Unit equential Circuits et/eset atch Flip-Flops ECEG/IC 2 igital Operations and Computations Winter 2 r. ouie 2 equential Circuits equential circuits: Output

More information

Electrical and Telecommunications Engineering Technology_TCET3122/TC520. NEW YORK CITY COLLEGE OF TECHNOLOGY The City University of New York

Electrical and Telecommunications Engineering Technology_TCET3122/TC520. NEW YORK CITY COLLEGE OF TECHNOLOGY The City University of New York NEW YORK CITY COLLEGE OF TECHNOLOGY The City University of New York DEPARTMENT: SUBJECT CODE AND TITLE: COURSE DESCRIPTION: REQUIRED: Electrical and Telecommunications Engineering Technology TCET 3122/TC

More information

PRE J. Figure 25.1a J-K flip-flop with Asynchronous Preset and Clear inputs

PRE J. Figure 25.1a J-K flip-flop with Asynchronous Preset and Clear inputs Asynchronous Preset and Clear Inputs The S-R, J-K and D inputs are known as synchronous inputs because the outputs change when appropriate input values are applied at the inputs and a clock signal is applied

More information

Lecture 10: Sequential Circuits

Lecture 10: Sequential Circuits Introduction to CMOS VLSI esign Lecture 10: Sequential Circuits avid Harris Harvey Mudd College Spring 2004 1 Outline Floorplanning Sequencing Sequencing Element esign Max and Min-elay Clock Skew Time

More information

Decade Counters Mod-5 counter: Decade Counter:

Decade Counters Mod-5 counter: Decade Counter: Decade Counters We can design a decade counter using cascade of mod-5 and mod-2 counters. Mod-2 counter is just a single flip-flop with the two stable states as 0 and 1. Mod-5 counter: A typical mod-5

More information

CHAPTER 6 ASYNCHRONOUS QUASI DELAY INSENSITIVE TEMPLATES (QDI) BASED VITERBI DECODER

CHAPTER 6 ASYNCHRONOUS QUASI DELAY INSENSITIVE TEMPLATES (QDI) BASED VITERBI DECODER 80 CHAPTER 6 ASYNCHRONOUS QUASI DELAY INSENSITIVE TEMPLATES (QDI) BASED VITERBI DECODER 6.1 INTRODUCTION Asynchronous designs are increasingly used to counter the disadvantages of synchronous designs.

More information

Digital System Design

Digital System Design Digital System Design by Dr. Lesley Shannon Email: lshannon@ensc.sfu.ca Course Website: http://www.ensc.sfu.ca/~lshannon/courses/ensc350 Simon Fraser University Slide Set: 8 Date: February 9, 2009 Timing

More information

Figure 1 shows a simple implementation of a clock switch, using an AND-OR type multiplexer logic.

Figure 1 shows a simple implementation of a clock switch, using an AND-OR type multiplexer logic. 1. CLOCK MUXING: With more and more multi-frequency clocks being used in today's chips, especially in the communications field, it is often necessary to switch the source of a clock line while the chip

More information

RS flip-flop using NOR gate

RS flip-flop using NOR gate RS flip-flop using NOR gate Triggering and triggering methods Triggering : Applying train of pulses, to set or reset the memory cell is known as Triggering. Triggering methods:- There are basically two

More information

DALHOUSIE UNIVERSITY Department of Electrical & Computer Engineering Digital Circuits - ECED 220. Experiment 4 - Latches and Flip-Flops

DALHOUSIE UNIVERSITY Department of Electrical & Computer Engineering Digital Circuits - ECED 220. Experiment 4 - Latches and Flip-Flops DLHOUSIE UNIVERSITY Department of Electrical & Computer Engineering Digital Circuits - ECED 0 Experiment - Latches and Flip-Flops Objectives:. To implement an RS latch memory element. To implement a JK

More information