Soft Errors re-examined

Size: px
Start display at page:

Download "Soft Errors re-examined"

Transcription

1 Soft Errors re-examined Jamil R. Mazzawi Founder and CEO Optima Design Automation Ltd 1 v1.2

2 Topics: Soft errors: definitions FIT Rate Soft-errors problem strengthening in new nodes Logical Masking and deration Mitigation techniques Flip-flop selection CosmicASICs Optima Design Automation Ltd 2

3 Soft-errors Cosmic Particles influencing our chips Particles can flip the values in flops and memory bits Optima Design Automation Ltd 3

4 Measuring soft-errors: FIT rate FIT Failure In Time How many Failures in 1 billion hours FIT = 10 9 / MTBF (hours) FIT of a system = FITi i= all its components FIT for a server farm = Sum of the FIT of all its servers, routers etc.. FIT for a chip = Sum of the FIT of all flops, memory bites, combo logic etc.. Optima Design Automation Ltd 4

5 Example: FIT req. of a chip Server farm for bank XYZ, with 1000 servers Required MTBF(the farm) = 1 year MTBF(each server) = 1000 years Includes power supply, FAN, memory, the CPU Chip, other chips MTBF(CPU chip) = 1200 years 10 FIT(CPU) = 9 = = Given: FIT(single flip-flop) = 0.01 (@NYC) Given: Chip has 300,000 flops FIT(all flops) = 3,000 > 95 We have a problem Does not include: 1- Deration factors 2- Other component of the chip (i.e, memories) Optima Design Automation Ltd 5

6 Problem strengthening these days Newer, technologies are more sensitive Smaller transistor dimension => Smaller critical charge => the electrical charge of the particles relatively bigger than the critical charge Two effects that cancel each other: Smaller area per-transistor decrease per-trans FIT-rate More transistor per mm² Increase total FIT (of the chip) together, they almost don t influence the FIT rate Optima Design Automation Ltd 6

7 Where is it important: Memories Was the only area that needed protection in older nodes Solution: ECC protection Flop-flops Flops must be protected in newer technology nodes Combinatorial logic Second degree problem Solved Problem! Hottest unsolved Problem! Not a problem yet Optima Design Automation Ltd 7

8 Single Event Upset vs. Soft-Error SEU: A particle caused a flip-flop or memory bit to flip its value Soft-Error: An SEU has propagated and caused a system failure seen outside Most SEU do not convert to Soft-errors Optima Design Automation Ltd 8

9 Most SEUs do not convert to Soft-errors Ilan Beer, IBM HVC 2008 Definition: FIT rate with derating factors FIT calculated taking into account vanishing SEUs Optima Design Automation Ltd 9

10 Common mitigation methods: TMR with Majority voting DMR with C-Element Soft-error detectors SE detection with Parity tree More. Optima Design Automation Ltd 10

11 Solution 1: TMR with Majority voter TMR Triple Modular Redundancy. Extra area ~ +205%, extra power ~ +205%, FIT = 0 (-100%) Optima Design Automation Ltd 11

12 Solution 2: DMR with C-element DMR - Dual Modular Redundancy using additional C-element additional area and power > +100%, FIT = reduced to 5% Optima Design Automation Ltd 12

13 Solution 3: Soft-Error detectors These techniques usually used for detecting single bit flips in pipeline storage elements. One simple method is to duplicate the critical node and connect the outputs to XOR gate. Additional area and power is about 100%. Optima Design Automation Ltd 13

14 Summary of different solutions Family Technique description Extra area Extra power FIT TMR Triplicate of storage elements with majority voter at output Triple Modular Redundancy TMR with majority voting Three time-delayed storage node +200% +200% Down to 0 DMR Dual Modular Redundancy C element Error Detection Copy storage element Using already existing scan design-for-testability Using duplicated storage element with XOR +105% +20% +103% +100% ~+15% ~+100% Down by 95% Parity Tree Parity tree Using transient detector. Used in pipelines and recoverable models Down to 0 Performance penalty Not always possible Etc.. Optima Design Automation Ltd 14

15 Flip-flop selection is needed Hardening all flops is not viable Silicon costs: 25%-35% Influence on: Unit cost, NRE cost and Power Solution: Apply these solutions selectively Harden flops that are more sensitive to SEUs A flop Sensitive to SEU means: SEU on the flop has higher probability to convert to soft-error Optima Design Automation Ltd 15

16 Existing selection methods: Error Injection simulation Run a lot of simulations Each simulation injects a single error on a random flop, at a random cycle (simulating SEU) If the test-bench detects an error this SEU is Soft-Err. How many simulations to run? Option 1: Loop for all flops and all cycles Option 2: select random flops and random cycles to inject errors on lower accuracy Optima Design Automation Ltd 16

17 Benefits: Error Injection simulation Almost the only available option now Draw backs: Time consuming: 2-4 weeks with low sample-rate Compute resources consuming 2-4 weeks x machines during peak project time Internal/in-house solution: needs someone to develop it and maintain it Solution available only for big companies Optima Design Automation Ltd 17

18 Introducing: CosmicASIC x1000 times faster than existing solutions Plug-and-play solution 100% accuracy Optima Design Automation Ltd 18

19 Summary The Soft-errors problem is strengthening Mitigation techniques exist: But can cost 25%-35% in silicon, NRE and power Flip-flop selection is a must Solves the soft-error problem at fraction of the cost CosmicASIC : Flip-flop selection EDA tool Visit us at booth A03 in the exhibition area Or at: Optima Design Automation Ltd 19

Self Restoring Logic (SRL) Cell Targets Space Application Designs

Self Restoring Logic (SRL) Cell Targets Space Application Designs TND6199/D Rev. 0, SEPT 2015 Self Restoring Logic (SRL) Cell Targets Space Application Designs Semiconductor Components Industries, LLC, 2015 September, 2015 Rev. 0 1 Publication Order Number: TND6199/D

More information

DESIGNING AN ECU CPU FOR RADIATION ENVIRONMENT. Matthew G. M. Yee College of Engineering University of Hawai`i at Mānoa Honolulu, HI ABSTRACT

DESIGNING AN ECU CPU FOR RADIATION ENVIRONMENT. Matthew G. M. Yee College of Engineering University of Hawai`i at Mānoa Honolulu, HI ABSTRACT DESIGNING AN ECU CPU FOR RADIATION ENVIRONMENT Matthew G. M. Yee College of Engineering University of Hawai`i at Mānoa Honolulu, HI 96822 ABSTRACT NASA s objective is to colonize the planet Mars, for the

More information

Single Event Upset Hardening by 'hijacking' the multi-vt flow during synthesis

Single Event Upset Hardening by 'hijacking' the multi-vt flow during synthesis Single Event Upset Hardening by 'hijacking' the multi-vt flow during synthesis Roland Weigand February 04, 2013 Design Automation Conference User Track European Space Agency Microelectronics Section Author

More information

Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory. National Central University

Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory. National Central University Chapter 3 Basics of VLSI Testing (2) Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory Department of Electrical Engineering National Central University Jhongli, Taiwan Outline Testing Process Fault

More information

Novel Correction and Detection for Memory Applications 1 B.Pujita, 2 SK.Sahir

Novel Correction and Detection for Memory Applications 1 B.Pujita, 2 SK.Sahir Novel Correction and Detection for Memory Applications 1 B.Pujita, 2 SK.Sahir 1 M.Tech Research Scholar, Priyadarshini Institute of Technology & Science, Chintalapudi, India 2 HOD, Priyadarshini Institute

More information

Impact of Intermittent Faults on Nanocomputing Devices

Impact of Intermittent Faults on Nanocomputing Devices Impact of Intermittent Faults on Nanocomputing Devices Cristian Constantinescu June 28th, 2007 Dependable Systems and Networks Outline Fault classes Permanent faults Transient faults Intermittent faults

More information

HARDENED BY DESIGN APPROACHES FOR MITIGATING TRANSIENT FAULTS IN MEMORY-BASED SYSTEMS DANIEL RYAN BLUM

HARDENED BY DESIGN APPROACHES FOR MITIGATING TRANSIENT FAULTS IN MEMORY-BASED SYSTEMS DANIEL RYAN BLUM HARDENED BY DESIGN APPROACHES FOR MITIGATING TRANSIENT FAULTS IN MEMORY-BASED SYSTEMS by DANIEL RYAN BLUM A dissertation submitted in partial fulfillment of the requirements for the degree of DOCTOR OF

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET476) Lecture 9 (2) Built-In-Self Test (Chapter 5) Said Hamdioui Computer Engineering Lab Delft University of Technology 29-2 Learning aims Describe the concept and

More information

Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky,

Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky, Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky, tomott}@berkeley.edu Abstract With the reduction of feature sizes, more sources

More information

TODAY, the use of embedded systems in safety-critical

TODAY, the use of embedded systems in safety-critical 1454 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 21, NO. 8, AUGUST 2013 Low-Cost Scan-Chain-Based Technique to Recover Multiple Errors in TMR Systems Mojtaba Ebrahimi, Student

More information

VLSI System Testing. BIST Motivation

VLSI System Testing. BIST Motivation ECE 538 VLSI System Testing Krish Chakrabarty Built-In Self-Test (BIST): ECE 538 Krish Chakrabarty BIST Motivation Useful for field test and diagnosis (less expensive than a local automatic test equipment)

More information

ECE 715 System on Chip Design and Test. Lecture 22

ECE 715 System on Chip Design and Test. Lecture 22 ECE 75 System on Chip Design and Test Lecture 22 Response Compaction Severe amounts of data in CUT response to LFSR patterns example: Generate 5 million random patterns CUT has 2 outputs Leads to: 5 million

More information

Single-Event Upsets in the PANDA EMC

Single-Event Upsets in the PANDA EMC Single-Event Upsets in the PANDA EMC Results from a neutron irradiation of the front-end digitiser board M. Preston, P.-E. Tegnér (Stockholm University) H. Calén, T. Johansson, K. Makònyi, P. Marciniewski

More information

A Low-cost, Radiation-Hardened Method for Pipeline Protection in Microprocessors

A Low-cost, Radiation-Hardened Method for Pipeline Protection in Microprocessors 1 A Low-cost, Radiation-Hardened Method for Pipeline Protection in Microprocessors Yang Lin, Mark Zwolinski, Senior Member, IEEE, and Basel Halak Abstract The aggressive scaling of semiconductor technology

More information

Tolerant Processor in 0.18 µm Commercial UMC Technology

Tolerant Processor in 0.18 µm Commercial UMC Technology The LEON-2 2 Fault- Tolerant Processor in 0.18 µm Commercial UMC Technology Microelectronics Presentation Days ESTEC, 4 5 February 2004 Roland Weigand European Space Agency Data Systems Division TOS-EDM

More information

Performance Driven Reliable Link Design for Network on Chips

Performance Driven Reliable Link Design for Network on Chips Performance Driven Reliable Link Design for Network on Chips Rutuparna Tamhankar Srinivasan Murali Prof. Giovanni De Micheli Stanford University Outline Introduction Objective Logic design and implementation

More information

Reduced Triple Modular Redundancy for Tolerating SEUs in SRAM-based FPGAs

Reduced Triple Modular Redundancy for Tolerating SEUs in SRAM-based FPGAs Reduced Triple Modular Redundancy for Tolerating SEUs in SRAM-based FPGAs Vikram Chandrasekhar Sk Noor Mahammad V Muralidaran V Kamakoti Department of Computer Science and Engineering Indian Institute

More information

Self-Test and Adaptation for Random Variations in Reliability

Self-Test and Adaptation for Random Variations in Reliability Self-Test and Adaptation for Random Variations in Reliability Kenneth M. Zick and John P. Hayes University of Michigan, Ann Arbor, MI USA August 31, 2010 Motivation Physical variation is increasing dramatically

More information

CSER: BISER-Based Concurrent Soft-Error Resilience

CSER: BISER-Based Concurrent Soft-Error Resilience 2 28th IEEE VL Test Symposium CR: BIR-Based Concurrent Soft-Error Resilience, 3 Laung-Terng Wang, 2 Nur A. Touba, Zhigang Jiang, Shianling Wu, 3 Jiun-Lang Huang, and 3 James Chien-Mo Li SynTest Technologies,

More information

Design Of Error Hardened Flip-Flop Withmultiplexer Using Transmission Gates And N-Type Pass Transistors

Design Of Error Hardened Flip-Flop Withmultiplexer Using Transmission Gates And N-Type Pass Transistors IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 11, Issue 5, Ver. II (Sep.-Oct.2016), PP 24-32 www.iosrjournals.org Design Of Error Hardened

More information

Testing Digital Systems II

Testing Digital Systems II Testing Digital Systems II Lecture 2: Design for Testability (I) structor: M. Tahoori Copyright 2010, M. Tahoori TDS II: Lecture 2 1 History During early years, design and test were separate The final

More information

This document is an author-formatted work. The definitive version for citation appears as:

This document is an author-formatted work. The definitive version for citation appears as: This document is an author-formatted work. The definitive version for citation appears as: Faris S. Alghareb, M. Lin and R. F. DeMara, "Soft Error Effect Tolerant Temporal Self-Voting Checkers: Energy

More information

EMPTY and FULL Flag Behaviors of the Axcelerator FIFO Controller

EMPTY and FULL Flag Behaviors of the Axcelerator FIFO Controller Application Note AC228 and FULL Flag Behaviors of the Axcelerator FIFO Controller Introduction The purpose of this application note is to specifically illustrate the following two behaviors of the FULL

More information

Final Exam CPSC/ECEN 680 May 2, Name: UIN:

Final Exam CPSC/ECEN 680 May 2, Name: UIN: Final Exam CPSC/ECEN 680 May 2, 2008 Name: UIN: Instructions This exam is closed book. Provide brief but complete answers to the following questions in the space provided, using figures as necessary. Show

More information

AN EMISSION REINFORCED SCHEME FOR PIPELINE DEFENSE IN MICROPROCESSORS

AN EMISSION REINFORCED SCHEME FOR PIPELINE DEFENSE IN MICROPROCESSORS AN EMISSION REINFORCED SCHEME FOR PIPELINE DEFENSE IN MICROPROCESSORS S. CHRISTO JAIN Assistant Professor, Dept. of Electronics and Communication, K S Institute Of Technology, Bangalore-62 E-mail: s.christojain@gmail.com

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2014

ECEN620: Network Theory Broadband Circuit Design Fall 2014 ECEN620: Network Theory Broadband Circuit Design Fall 2014 Lecture 12: Divider Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements & Agenda Divider Basics Dynamic CMOS

More information

Based on slides/material by. Topic 14. Testing. Testing. Logic Verification. Recommended Reading:

Based on slides/material by. Topic 14. Testing. Testing. Logic Verification. Recommended Reading: Based on slides/material by Topic 4 Testing Peter Y. K. Cheung Department of Electrical & Electronic Engineering Imperial College London!! K. Masselos http://cas.ee.ic.ac.uk/~kostas!! J. Rabaey http://bwrc.eecs.berkeley.edu/classes/icbook/instructors.html

More information

Soft errors, also called single-event upsets. Robust System Design with Built-In Soft-Error Resilience

Soft errors, also called single-event upsets. Robust System Design with Built-In Soft-Error Resilience COVER FEATURE Robust System Design with Built-In Soft-Error Resilience Transient errors caused by terrestrial radiation pose a major barrier to robust system design. A system s susceptibility to such errors

More information

DIGITAL SYSTEM FUNDAMENTALS (ECE421) DIGITAL ELECTRONICS FUNDAMENTAL (ECE422) LATCHES and FLIP-FLOPS

DIGITAL SYSTEM FUNDAMENTALS (ECE421) DIGITAL ELECTRONICS FUNDAMENTAL (ECE422) LATCHES and FLIP-FLOPS COURSE / CODE DIGITAL SYSTEM FUNDAMENTALS (ECE421) DIGITAL ELECTRONICS FUNDAMENTAL (ECE422) LATCHES and FLIP-FLOPS In the same way that logic gates are the building blocks of combinatorial circuits, latches

More information

A High-Resolution Flash Time-to-Digital Converter Taking Into Account Process Variability. Nikolaos Minas David Kinniment Keith Heron Gordon Russell

A High-Resolution Flash Time-to-Digital Converter Taking Into Account Process Variability. Nikolaos Minas David Kinniment Keith Heron Gordon Russell A High-Resolution Flash Time-to-Digital Converter Taking Into Account Process Variability Nikolaos Minas David Kinniment Keith Heron Gordon Russell Outline of Presentation Introduction Background in Time-to-Digital

More information

for Digital IC's Design-for-Test and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ

for Digital IC's Design-for-Test and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ Design-for-Test for Digital IC's and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ 07458 www.phptr.com ISBN D-13-DflMfla7-l : Ml H Contents Preface Acknowledgments Introduction

More information

data and is used in digital networks and storage devices. CRC s are easy to implement in binary

data and is used in digital networks and storage devices. CRC s are easy to implement in binary Introduction Cyclic redundancy check (CRC) is an error detecting code designed to detect changes in transmitted data and is used in digital networks and storage devices. CRC s are easy to implement in

More information

Testability: Lecture 23 Design for Testability (DFT) Slide 1 of 43

Testability: Lecture 23 Design for Testability (DFT) Slide 1 of 43 Testability: Lecture 23 Design for Testability (DFT) Shaahin hi Hessabi Department of Computer Engineering Sharif University of Technology Adapted, with modifications, from lecture notes prepared p by

More information

A Practical Look at SEU, Effects and Mitigation

A Practical Look at SEU, Effects and Mitigation A Practical Look at SEU, Effects and Mitigation Ken Chapman FPGA Network: Safety, Certification & Security University of Hertfordshire 19 th May 2016 Premium Bonds Each Bond is 1 Each stays in the system

More information

An MFA Binary Counter for Low Power Application

An MFA Binary Counter for Low Power Application Volume 118 No. 20 2018, 4947-4954 ISSN: 1314-3395 (on-line version) url: http://www.ijpam.eu ijpam.eu An MFA Binary Counter for Low Power Application Sneha P Department of ECE PSNA CET, Dindigul, India

More information

Scan. This is a sample of the first 15 pages of the Scan chapter.

Scan. This is a sample of the first 15 pages of the Scan chapter. Scan This is a sample of the first 15 pages of the Scan chapter. Note: The book is NOT Pinted in color. Objectives: This section provides: An overview of Scan An introduction to Test Sequences and Test

More information

Design for Testability

Design for Testability TDTS 01 Lecture 9 Design for Testability Zebo Peng Embedded Systems Laboratory IDA, Linköping University Lecture 9 The test problems Fault modeling Design for testability techniques Zebo Peng, IDA, LiTH

More information

https://daffy1108.wordpress.com/2014/06/08/synchronizers-for-asynchronous-signals/

https://daffy1108.wordpress.com/2014/06/08/synchronizers-for-asynchronous-signals/ https://daffy1108.wordpress.com/2014/06/08/synchronizers-for-asynchronous-signals/ Synchronizers for Asynchronous Signals Asynchronous signals causes the big issue with clock domains, namely metastability.

More information

ECE321 Electronics I

ECE321 Electronics I ECE321 Electronics I Lecture 25: Sequential Logic: Flip-flop Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Tuesday 2:00-3:00PM or by appointment E-mail: pzarkesh.unm.edu Slide: 1 Review of Last

More information

SoC IC Basics. COE838: Systems on Chip Design

SoC IC Basics. COE838: Systems on Chip Design SoC IC Basics COE838: Systems on Chip Design http://www.ee.ryerson.ca/~courses/coe838/ Dr. Gul N. Khan http://www.ee.ryerson.ca/~gnkhan Electrical and Computer Engineering Ryerson University Overview SoC

More information

Lecture 23 Design for Testability (DFT): Full-Scan (chapter14)

Lecture 23 Design for Testability (DFT): Full-Scan (chapter14) Lecture 23 Design for Testability (DFT): Full-Scan (chapter14) Definition Ad-hoc methods Scan design Design rules Scan register Scan flip-flops Scan test sequences Overheads Scan design system Summary

More information

UNIT IV CMOS TESTING. EC2354_Unit IV 1

UNIT IV CMOS TESTING. EC2354_Unit IV 1 UNIT IV CMOS TESTING EC2354_Unit IV 1 Outline Testing Logic Verification Silicon Debug Manufacturing Test Fault Models Observability and Controllability Design for Test Scan BIST Boundary Scan EC2354_Unit

More information

Sequencing. Lan-Da Van ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall,

Sequencing. Lan-Da Van ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall, Sequencing ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall, 2013 ldvan@cs.nctu.edu.tw http://www.cs.nctu.edu.tw/~ldvan/ Outlines Introduction Sequencing

More information

Fault Detection And Correction Using MLD For Memory Applications

Fault Detection And Correction Using MLD For Memory Applications Fault Detection And Correction Using MLD For Memory Applications Jayasanthi Sambbandam & G. Jose ECE Dept. Easwari Engineering College, Ramapuram E-mail : shanthisindia@yahoo.com & josejeyamani@gmail.com

More information

Unit 8: Testability. Prof. Roopa Kulkarni, GIT, Belgaum. 29

Unit 8: Testability. Prof. Roopa Kulkarni, GIT, Belgaum. 29 Unit 8: Testability Objective: At the end of this unit we will be able to understand Design for testability (DFT) DFT methods for digital circuits: Ad-hoc methods Structured methods: Scan Level Sensitive

More information

Unit V Design for Testability

Unit V Design for Testability Unit V Design for Testability Outline Testing Logic Verification Silicon Debug Manufacturing Test Fault Models Observability and Controllability Design for Test Scan BIST Boundary Scan Slide 2 Testing

More information

Lecture 26: Multipliers. Final presentations May 8, 1-5pm, BWRC Final reports due May 7 Final exam, Monday, May :30pm, 241 Cory

Lecture 26: Multipliers. Final presentations May 8, 1-5pm, BWRC Final reports due May 7 Final exam, Monday, May :30pm, 241 Cory EE241 - Spring 2008 Advanced Digital Integrated Circuits Lecture 26: Multipliers Latches Announcements Homework 5 Due today Wrapping-up the class: Final presentations May 8, 1-5pm, BWRC Final reports due

More information

11. Sequential Elements

11. Sequential Elements 11. Sequential Elements Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 October 11, 2017 ECE Department, University of Texas at Austin

More information

Timing with Virtual Signal Synchronization for Circuit Performance and Netlist Security

Timing with Virtual Signal Synchronization for Circuit Performance and Netlist Security Timing with Virtual Signal Synchronization for Circuit Performance and Netlist Security Grace Li Zhang, Bing Li, Ulf Schlichtmann Chair of Electronic Design Automation Technical University of Munich (TUM)

More information

DEDICATED TO EMBEDDED SOLUTIONS

DEDICATED TO EMBEDDED SOLUTIONS DEDICATED TO EMBEDDED SOLUTIONS DESIGN SAFE FPGA INTERNAL CLOCK DOMAIN CROSSINGS ESPEN TALLAKSEN DATA RESPONS SCOPE Clock domain crossings (CDC) is probably the worst source for serious FPGA-bugs that

More information

Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test

Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test Mark McDermott Electrical and Computer Engineering The University of Texas at Austin Agenda Introduction to testing Logical

More information

EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP. Due İLKER KALYONCU, 10043

EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP. Due İLKER KALYONCU, 10043 EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP Due 16.05. İLKER KALYONCU, 10043 1. INTRODUCTION: In this project we are going to design a CMOS positive edge triggered master-slave

More information

12-bit Wallace Tree Multiplier CMPEN 411 Final Report Matthew Poremba 5/1/2009

12-bit Wallace Tree Multiplier CMPEN 411 Final Report Matthew Poremba 5/1/2009 12-bit Wallace Tree Multiplier CMPEN 411 Final Report Matthew Poremba 5/1/2009 Project Overview This project was originally titled Fast Fourier Transform Unit, but due to space and time constraints, the

More information

Professor Lloyd W. Massengill

Professor Lloyd W. Massengill COMPARISON OF COMBINATIONAL AND SEQUENTIAL ERROR RATES AND A LOW OVERHEAD TECHNIQUE FOR SINGLE EVENT TRANSIENT MITIGATION By Nihaar Nilesh Mahatme Thesis Submitted to the Faculty of the Graduate School

More information

High Performance Microprocessor Design and Automation: Overview, Challenges and Opportunities IBM Corporation

High Performance Microprocessor Design and Automation: Overview, Challenges and Opportunities IBM Corporation High Performance Microprocessor Design and Automation: Overview, Challenges and Opportunities Introduction About Myself What to expect out of this lecture Understand the current trend in the IC Design

More information

Fully Automated Radiation Hardened by Design. Circuit Construction. Nathan Hindman

Fully Automated Radiation Hardened by Design. Circuit Construction. Nathan Hindman Fully Automated Radiation Hardened by Design Circuit Construction by Nathan Hindman A Dissertation Presented in Partial Fulfillment of the Requirements for the Degree Doctor of Philosophy Approved November

More information

North Shore Community College

North Shore Community College North Shore Community College Course Number: IEL217 Section: MAL Course Name: Digital Electronics 1 Semester: Credit: 4 Hours: Three hours of Lecture, Two hours Laboratory per week Thursdays 8:00am (See

More information

Design Techniques for Radiation-Hardened FPGAs

Design Techniques for Radiation-Hardened FPGAs Design Techniques for Radiation-Hardened FPGAs Application Note AC128 Introduction With the RH1280 and RH1020, Actel Corporation introduces radiation-hardened versions of the popular A1280 and A1020 field

More information

Chapter 8 Design for Testability

Chapter 8 Design for Testability 電機系 Chapter 8 Design for Testability 測試導向設計技術 2 Outline Introduction Ad-Hoc Approaches Full Scan Partial Scan 3 Design For Testability Definition Design For Testability (DFT) refers to those design techniques

More information

TKK S ASIC-PIIRIEN SUUNNITTELU

TKK S ASIC-PIIRIEN SUUNNITTELU Design TKK S-88.134 ASIC-PIIRIEN SUUNNITTELU Design Flow 3.2.2005 RTL Design 10.2.2005 Implementation 7.4.2005 Contents 1. Terminology 2. RTL to Parts flow 3. Logic synthesis 4. Static Timing Analysis

More information

A Reconfigurable Parallel Signature Analyzer for Concurrent Error Correction in DRAM

A Reconfigurable Parallel Signature Analyzer for Concurrent Error Correction in DRAM 866 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 25, NO. 3, JUNE 1990 A Reconfigurable Parallel Signature Analyzer for Concurrent Error Correction in DRAM PINM MAZUMDER, MEMBER, IEEE, H. PATEL, FELLOW, IEEE,

More information

First Name Last Name November 10, 2009 CS-343 Exam 2

First Name Last Name November 10, 2009 CS-343 Exam 2 CS-343 Exam 2 Instructions: For multiple choice questions, circle the letter of the one best choice unless the question explicitly states that it might have multiple correct answers. There is no penalty

More information

AN EFFICIENT LOW POWER DESIGN FOR ASYNCHRONOUS DATA SAMPLING IN DOUBLE EDGE TRIGGERED FLIP-FLOPS

AN EFFICIENT LOW POWER DESIGN FOR ASYNCHRONOUS DATA SAMPLING IN DOUBLE EDGE TRIGGERED FLIP-FLOPS AN EFFICIENT LOW POWER DESIGN FOR ASYNCHRONOUS DATA SAMPLING IN DOUBLE EDGE TRIGGERED FLIP-FLOPS NINU ABRAHAM 1, VINOJ P.G 2 1 P.G Student [VLSI & ES], SCMS School of Engineering & Technology, Cochin,

More information

Hardware Design I Chap. 5 Memory elements

Hardware Design I Chap. 5 Memory elements Hardware Design I Chap. 5 Memory elements E-mail: shimada@is.naist.jp Why memory is required? To hold data which will be processed with designed hardware (for storage) Main memory, cache, register, and

More information

Digital Integrated Circuits Lecture 19: Design for Testability

Digital Integrated Circuits Lecture 19: Design for Testability Digital Integrated Circuits Lecture 19: Design for Testability Chih-Wei Liu VLSI Signal Processing LAB National Chiao Tung University cwliu@twins.ee.nctu.edu.tw DIC-Lec19 cwliu@twins.ee.nctu.edu.tw 1 Outline

More information

Soft Error Resilient System Design through Error Correction

Soft Error Resilient System Design through Error Correction Soft Error Resilient System Design through Error Correction Subhasish Mitra *, Ming Zhang +, Norbert Seifert +, TM Mak +, Kee Sup Kim + * Stanford University + Intel Corporation Abstract. This paper presents

More information

nmos transistor Basics of VLSI Design and Test Solution: CMOS pmos transistor CMOS Inverter First-Order DC Analysis CMOS Inverter: Transient Response

nmos transistor Basics of VLSI Design and Test Solution: CMOS pmos transistor CMOS Inverter First-Order DC Analysis CMOS Inverter: Transient Response nmos transistor asics of VLSI Design and Test If the gate is high, the switch is on If the gate is low, the switch is off Mohammad Tehranipoor Drain ECE495/695: Introduction to Hardware Security & Trust

More information

Notes on Digital Circuits

Notes on Digital Circuits PHYS 331: Junior Physics Laboratory I Notes on Digital Circuits Digital circuits are collections of devices that perform logical operations on two logical states, represented by voltage levels. Standard

More information

超大型積體電路測試 國立清華大學電機系 EE VLSI Testing. Chapter 5 Design For Testability & Scan Test. Outline. Introduction

超大型積體電路測試 國立清華大學電機系 EE VLSI Testing. Chapter 5 Design For Testability & Scan Test. Outline. Introduction 1 國立清華大學電機系 EE-6250 超大型積體電路測試 VLSI Testing Chapter 5 esign For Testability & Scan Test Outline Introduction Why FT? What is FT? Ad-Hoc Approaches Full Scan Partial Scan ch5-2 2 Why FT? irect Testing is

More information

A 65 nm Low-Power Adaptive-Coupling Redundant Flip-Flop

A 65 nm Low-Power Adaptive-Coupling Redundant Flip-Flop IEEE TRANSACTIONS ON NUCLEAR SCIENCE 1 A 65 nm Low-Power Adaptive-Coupling Redundant Flip-Flop Masaki Masuda, Kanto Kubota, Ryosuke Yamamoto, Jun Furuta, Kazutoshi Kobayashi, and Hidetoshi Onodera Abstract

More information

Strategies for Efficient and Effective Scan Delay Testing. Chao Han

Strategies for Efficient and Effective Scan Delay Testing. Chao Han Strategies for Efficient and Effective Scan Delay Testing by Chao Han A thesis submitted to the Graduate Faculty of Auburn University in partial fulfillment of the requirements for the Degree of Master

More information

An automatic synchronous to asynchronous circuit convertor

An automatic synchronous to asynchronous circuit convertor An automatic synchronous to asynchronous circuit convertor Charles Brej Abstract The implementation methods of asynchronous circuits take time to learn, they take longer to design and verifying is very

More information

Overview: Logic BIST

Overview: Logic BIST VLSI Design Verification and Testing Built-In Self-Test (BIST) - 2 Mohammad Tehranipoor Electrical and Computer Engineering University of Connecticut 23 April 2007 1 Overview: Logic BIST Motivation Built-in

More information

Retiming Sequential Circuits for Low Power

Retiming Sequential Circuits for Low Power Retiming Sequential Circuits for Low Power José Monteiro, Srinivas Devadas Department of EECS MIT, Cambridge, MA Abhijit Ghosh Mitsubishi Electric Research Laboratories Sunnyvale, CA Abstract Switching

More information

Analysis and Optimization of Sequential Circuit Elements to Combat Single-Event Timing Upsets

Analysis and Optimization of Sequential Circuit Elements to Combat Single-Event Timing Upsets Analysis and Optimization of Sequential Circuit Elements to Combat Single-Event Timing Upsets Hamed Abrishami, Safar Hatami, and Massoud Pedram University of Southern California Department of Electrical

More information

Software Engineering 2DA4. Slides 9: Asynchronous Sequential Circuits

Software Engineering 2DA4. Slides 9: Asynchronous Sequential Circuits Software Engineering 2DA4 Slides 9: Asynchronous Sequential Circuits Dr. Ryan Leduc Department of Computing and Software McMaster University Material based on S. Brown and Z. Vranesic, Fundamentals of

More information

Single-Event Upset Technology Scaling Trends of. Unhardened and Hardened Flip-Flops in Bulk CMOS. Nelson J. Gaspard III.

Single-Event Upset Technology Scaling Trends of. Unhardened and Hardened Flip-Flops in Bulk CMOS. Nelson J. Gaspard III. Single-Event Upset Technology Scaling Trends of Unhardened and Hardened Flip-Flops in Bulk CMOS By Nelson J. Gaspard III Dissertation Submitted to the Faculty of the Graduate School of Vanderbilt University

More information

Figure.1 Clock signal II. SYSTEM ANALYSIS

Figure.1 Clock signal II. SYSTEM ANALYSIS International Journal of Advances in Engineering, 2015, 1(4), 518-522 ISSN: 2394-9260 (printed version); ISSN: 2394-9279 (online version); url:http://www.ijae.in RESEARCH ARTICLE Multi bit Flip-Flop Grouping

More information

Instructions. Final Exam CPSC/ELEN 680 December 12, Name: UIN:

Instructions. Final Exam CPSC/ELEN 680 December 12, Name: UIN: Final Exam CPSC/ELEN 680 December 12, 2005 Name: UIN: Instructions This exam is closed book. Provide brief but complete answers to the following questions in the space provided, using figures as necessary.

More information

Optimization of Multi-Channel BCH Error Decoding for Common Cases. Russell Dill Master's Thesis Defense April 20, 2015

Optimization of Multi-Channel BCH Error Decoding for Common Cases. Russell Dill Master's Thesis Defense April 20, 2015 Optimization of Multi-Channel BCH Error Decoding for Common Cases Russell Dill Master's Thesis Defense April 20, 2015 Bose-Chaudhuri-Hocquenghem (BCH) BCH is an Error Correcting Code (ECC) and is used

More information

Lecture 11: Sequential Circuit Design

Lecture 11: Sequential Circuit Design Lecture 11: Sequential Circuit esign Outline q Sequencing q Sequencing Element esign q Max and Min-elay q Clock Skew q Time Borrowing q Two-Phase Clocking 2 Sequencing q Combinational logic output depends

More information

At-speed testing made easy

At-speed testing made easy At-speed testing made easy By Bruce Swanson and Michelle Lange, EEdesign.com Jun 03, 2004 (5:00 PM EDT) URL: http://www.eedesign.com/article/showarticle.jhtml?articleid=21401421 Today's chip designs are

More information

6.3 Sequential Circuits (plus a few Combinational)

6.3 Sequential Circuits (plus a few Combinational) 6.3 Sequential Circuits (plus a few Combinational) Logic Gates: Fundamental Building Blocks Introduction to Computer Science Robert Sedgewick and Kevin Wayne Copyright 2005 http://www.cs.princeton.edu/introcs

More information

Asynchronous inputs. 9 - Metastability and Clock Recovery. A simple synchronizer. Only one synchronizer per input

Asynchronous inputs. 9 - Metastability and Clock Recovery. A simple synchronizer. Only one synchronizer per input 9 - Metastability and Clock Recovery Asynchronous inputs We will consider a number of issues related to asynchronous inputs, multiple clock domains, clock synchronisation and clock distribution. Useful

More information

Voter Insertion Techniques for Fault Tolerant FPGA Design.

Voter Insertion Techniques for Fault Tolerant FPGA Design. Voter Insertion Techniques for Fault Tolerant FPGA Design. Jonathan Johnson Michael Wirthlin NSF Center for High Performance Reconfigurable Computing (CHREC) Dept. of Elec. & Comp. Engineering Brigham

More information

A Soft Error Tolerant LUT Cascade Emulator

A Soft Error Tolerant LUT Cascade Emulator A Soft Error Tolerant LUT Cascade Emulator Hiroki Nakahara and Tsutomu Sasao Department of Computer Science and Electronics, Kyushu Institute of Technology, Iizuka 820-8502, Japan Abstract An LUT cascade

More information

Clock Domain Crossing. Presented by Abramov B. 1

Clock Domain Crossing. Presented by Abramov B. 1 Clock Domain Crossing Presented by Abramov B. 1 Register Transfer Logic Logic R E G I S T E R Transfer Logic R E G I S T E R Presented by Abramov B. 2 RTL (cont) An RTL circuit is a digital circuit composed

More information

UNIT III COMBINATIONAL AND SEQUENTIAL CIRCUIT DESIGN

UNIT III COMBINATIONAL AND SEQUENTIAL CIRCUIT DESIGN UNIT III COMBINATIONAL AND SEQUENTIAL CIRCUIT DESIGN Part A (2 Marks) 1. What is a BiCMOS? BiCMOS is a type of integrated circuit that uses both bipolar and CMOS technologies. 2. What are the problems

More information

HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP

HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP 1 R.Ramya, 2 C.Hamsaveni 1,2 PG Scholar, Department of ECE, Hindusthan Institute Of Technology,

More information

Synchronization Voter Insertion Algorithms for FPGA Designs Using Triple Modular Redundancy

Synchronization Voter Insertion Algorithms for FPGA Designs Using Triple Modular Redundancy Brigham Young University BYU ScholarsArchive All Theses and Dissertations 2010-03-10 Synchronization Voter Insertion Algorithms for FPGA Designs Using Triple Modular Redundancy Jonathan Mark Johnson Brigham

More information

Two types of state machine as classified by output formation

Two types of state machine as classified by output formation Two types of state machine as classified by output formation Moore: outputs formed by present state only Mealy: outputs formed by present state and input Also classified by state encoding Binary : 000,

More information

EITF35: Introduction to Structured VLSI Design

EITF35: Introduction to Structured VLSI Design EITF35: Introduction to Structured VLSI Design Part 4.2.1: Learn More Liang Liu liang.liu@eit.lth.se 1 Outline Crossing clock domain Reset, synchronous or asynchronous? 2 Why two DFFs? 3 Crossing clock

More information

CMOS Low Power, High Speed Dual- Modulus32/33Prescalerin sub-nanometer Technology

CMOS Low Power, High Speed Dual- Modulus32/33Prescalerin sub-nanometer Technology IJSTE International Journal of Science Technology & Engineering Vol. 1, Issue 1, July 2014 ISSN(online): 2349-784X CMOS Low Power, High Speed Dual- Modulus32/33Prescalerin sub-nanometer Technology Dabhi

More information

PHYSICS 5620 LAB 9 Basic Digital Circuits and Flip-Flops

PHYSICS 5620 LAB 9 Basic Digital Circuits and Flip-Flops PHYSICS 5620 LAB 9 Basic Digital Circuits and Flip-Flops Objective Construct a two-bit binary decoder. Study multiplexers (MUX) and demultiplexers (DEMUX). Construct an RS flip-flop from discrete gates.

More information

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits N.Brindha, A.Kaleel Rahuman ABSTRACT: Auto scan, a design for testability (DFT) technique for synchronous sequential circuits.

More information

Lecture 23 Design for Testability (DFT): Full-Scan

Lecture 23 Design for Testability (DFT): Full-Scan Lecture 23 Design for Testability (DFT): Full-Scan (Lecture 19alt in the Alternative Sequence) Definition Ad-hoc methods Scan design Design rules Scan register Scan flip-flops Scan test sequences Overheads

More information

55:131 Introduction to VLSI Design Project #1 -- Fall 2009 Counter built from NAND gates, timing Due Date: Friday October 9, 2009.

55:131 Introduction to VLSI Design Project #1 -- Fall 2009 Counter built from NAND gates, timing Due Date: Friday October 9, 2009. 55:131 Introduction to VLSI Design Project #1 -- Fall 2009 Counter built from NAND gates, timing Due Date: Friday October 9, 2009 Introduction In this project we will create a transistor-level model of

More information

Reconfigurable Communication Experiment using a small Japanese Test Satellite

Reconfigurable Communication Experiment using a small Japanese Test Satellite Reconfigurable Communication Experiment using a small Japanese Test Satellite Nozomu Nishinaga Space Communications Network Group National Institute of Information and Communications Technology (NICT CT)

More information

Flip-Flops A) Synchronization: Clocks and Latches B) Two Stage Latch C) Memory Requires Feedback D) Simple Flip-Flop Gate

Flip-Flops A) Synchronization: Clocks and Latches B) Two Stage Latch C) Memory Requires Feedback D) Simple Flip-Flop Gate Lecture 19: November 5, 2001 Midterm in Class Wed. Nov 7 th Covers Material 6 th -10 th week including W#10 Closed Book, Closed Notes, Bring Calculator, Paper Provided Last Name A-K 2040 Valley LSB; Last

More information

Structural Fault Tolerance for SOC

Structural Fault Tolerance for SOC Structural Fault Tolerance for SOC Soft Error Fault Tolerant Systems Hrushikesh Chavan Department of ECE, University of Wisconsin Madison, USA hchavan@wisc.edu Younggyun Cho Department of ECE, University

More information