A Practical Look at SEU, Effects and Mitigation

Size: px
Start display at page:

Download "A Practical Look at SEU, Effects and Mitigation"

Transcription

1 A Practical Look at SEU, Effects and Mitigation Ken Chapman FPGA Network: Safety, Certification & Security University of Hertfordshire 19 th May 2016

2 Premium Bonds Each Bond is 1 Each stays in the system until you cash it in (or die!) Each Bond takes part in a monthly draw These 5 Bonds are still worth 5 and have taken part in over 570 monthly draws Page 2

3 ERNIE picks the winning bonds each month Electronic Random Number Indicator Equipment ERNIE 1 Unveiled in 1957 Generated bond numbers based on signal noise from neon tubes Now on display at the Science Museum in London Page 3

4 Every month ERNIE picks the winning bonds 1 in every 30,000 Bonds There are ~ 60 Billion Bonds in the system Page 4

5 Statistics Odds = 1 in 30,000 If you have 30,000 Bonds* Does it guarantee that you win a prise every month? Win nothing = 37% 1 prize = 37% 2 prizes = 18% 3 prizes = 6% 4 prizes = 1% But over a year you ll probably win ~12 prizes and over 10 years you ll win ~120 prizes * Maximum permitted holding is 50,000 Page 5

6 Which prize will ERNIE give you? Value No of Prizes Will it be a life changing 1,000,000 03% or average good fortune? = % 1% tax free return on investment 933% of Prizes Page 6

7 What Did The Space Program Ever Do For Me? MTBF Great for space and very special situations but is this practical? Engineering solutions! Standard products do benefit from the space program 9,926yrs 75 days Page 7

8 Only Soft Errors NO SEL (Single Event Latch-up) Proprietary Design Techniques >40 Patents Immunity to latch-up confirmed continuously by Xilinx testing Continuous monitoring of devices No reports from customers (significant quantities of devices are monitored 24/7) Beam testing at high energy levels NO SEFIs (Single Event Functional Interrupts) observed Only significant in space (< 004 device FIT terrestrially) NO SETs (Single Event Transients) observed Large RCs on logic & DFF nets prevent occurrence NO subtle device behaviour changes observed No performance or frequency degradation Negligible effects on power consumption Upsets only occur in memory cells Values flip from 0 1 or 1 0 Soft Errors Only Page 8

9 Over 17 Years of Rosetta and Beam Testing

10 Being Practical Begins and Ends With UG116 Use the known to deal with the unknown! Always use the latest version But what does this mean in practical terms? Page 10

11 Some Xilinx SEU History Xilinx is the only FPGA vendor that openly publishes SEU and Soft Error Rate measurements (see UG116) Observations and experiences of devices in the real atmosphere as well as during beam experiments have enabled Xilinx to understand the susceptibility of our devices 1998 (250nm) 2003 Improvements are generally by design We didn t just get lucky! Use known published data to make informed and relevant decisions about today s devices 2012 (Now) 2015 (Now)

12 7-Series FIT Rate Failures In Time Time = 10 9 hours = 114,155 years SER (Soft Error Rate) Frequency of soft error occurrences 81 upsets in 114,155 years for every 1 million bits of configuration memory = 4,976,640 bits are BRAM contents ** This is close enough for an estimate 30,606,304-4,976,640 = 25,629,664 fixed configuration bits = 2,074 FIT ** 10 9 / 2,074 = 482,160 hours = 20,090 days = 55 years Page 12

13 What Do The 7-Series Figures Tell Us? Operating the following devices at sea level in New York the mean time between upsets will be Artix 7A100T - 55 Years Artix 7A200T - 22 Years Kintex 7K70T - 74 Years Kintex 7K325T - 19 Years Virtex 7VX690T - 8 Years Virtex 7V2000T - 4 Years Now you know why real data collection takes lots of devices and time Now you know why Xilinx do also go beam testing Page 13

14 Scaling Factors Real figures should be scaled for the working environment - Sea Level New York Relative Flux Xilinx also provide an SEU FIT Rate Calculator Page 14

15 Scaling For Ground Based Products (Includes aircraft operating at lower altitudes) Operating the following devices anywhere normal on the surface of Earth will experience upsets less frequently than Useful Scaling to Remember 17 Covers anywhere on the surface of The Earth Reference: Longmont,Colorado 4,978ft amsl Flux 352 Artix 7A100T - 1,181 Days (3 Years) Artix 7A200T Days Kintex 7K70T - 1,583 Days (4 Years) Kintex 7K325T Days Virtex 7VX690T Days Virtex 7V2000T - 76 Days But a ground based product may need to operate 24/7 for many years Page 15

16 Altitude 40,000ft Anywhere Operating the following devices at 40,000 feet the mean time between upsets will be Artix 7A100T - 40 Days Useful Scaling to Remember ,000ft anywhere Artix 7A200T - 16 Days Kintex 7K70T - 54 Days Kintex 7K325T - 14 Days Virtex 7VX690T - 6 Days That s a long time to sit in economy Virtex 7V2000T - 3 Days A device in a high utilization long haul aircraft could expect to experience a few flights a year in which an upset occurs Page 16

17 SEU Detection Built-in Readback CRC continuously scans the configuration cells Can be completely independent of user design When CRC is incorrect at end of scan INIT_B pin is driven Low - Scan time depends on device size and clock frequency (46ms to 541ms) - XC7A200T scan time 183ms at F MAX - XC7V325T scan time 235ms at F MAX eg 20ms INIT_B=0 CRCERROR=1 What is the longest time between an upset occurring and error being reported? What is the shortest time between an upset occurring and error being reported? What is the average time between an upset occurring and error being reported? 40ms 0ms 20ms Page 17

18 Error Correction 7-Series also has error correction built-in Automatically corrects all single bit per frame upsets (the most common type) Readback CRC mechanism still used to scan the device - CRC provides redundancy for ECC ECCERROR=1 20ms INIT_B=1 CRCERROR=0 Each frame ( = 3,232 bits) has an Error Correcting Code (ECC) - Detects an error as frame containing error is scanned 50% reduction in average detection time - Identifies location of a single bit error within that frame - Correction time <1ms Page 18

19 When ECC alone is not enough! Single Bit Error (SBE) Adjacent Frame Double Bit Error = 2 SBE Same Frame Double Bit Error (DBE) Page 19

20 What Effect Does An Upset Have On My Design? Error injection is a VERY Powerful tool (partial reconfiguration) Not available in ASIC or fixed configuration devices (Only pre-defined error injection points are practical within an ASIC design) It s like having a proton beam on my desk but better Evaluate SEU susceptibility of a particular design - What proportion of upsets effect the design? - What happens when they do? - How many upsets are critical to operation? Where and what is the weakest link? Evaluate and test all your mitigation strategies - Does your system correctly handle and report errors? - Does your TMR scheme really see you through (hard and soft errors)? Page 20

21 The Proton Beam for Your Desk! XC7K325T on KC705 Board 400 Break Me Modules UART_RX6 UART_TX6 Ports KCPSM6 Port Port 4K ROM Represents a Design filling ~90% of device SEM IP Port icap_grant Status Interface Port 24-bit Counter [23:16] led[7:0] Ports Ports FIFO FIFO Error Injection Interface Monitor Interface status_heartbeat CE Q[23:0] 8-bit Counter Q[7:0] RST CRCERROR Port Port INIT_B (dedicated) Ok CRCERROR ICAPE2 FRAME_ECC2 Page 21

22 Break Me Module! 400 DSP Circuits ~57 Slices 2 DSP48E1 Other logic ~13 Slices Counter Counter PicoBlaze 32 Slices ROM LFSR ROM LFSR DSP48E DSP48E = In Latch Out DSP Failure 8 25 In ROM 1 BRAM (36kb) 4 Latch 4 Out KCPSM6 DEFAULT_JUMP K Program ROM Dual Port BRAM 9 12 CRC 8 Slices CRC Calculator In Latch Out ROM Failure KCPSM6 Failure Total Size of each Module 1 BRAM 2 DSP48E1 ~110 Slices Page 22

23 The Proton Beam for Your Desk! Today s target Target Device : xc7k325t Design Summary Number of occupied Slices: 44,405 out of 50,950 87% Number of RAMB36E1/FIFO36E1s: 411 out of % Number of RAMB18E1/FIFO18E1s: 4 out of 890 1% Number of DSP48E1s: 800 out of % DSP Circuits ~52% PicoBlaze circuits ~40% ROM CRC circuits ~7% SEM IP and system controller ~1% For an XC7K325T, each simulated SEU (arrow!) is equivalent to:- 19 Years at Sea Level New York 403 Days worst case anywhere on the surface of the Earth 14 Days worst case anywhere at 40,000ft Page 23

24 Results From My Desk! Different circuits have different susceptibility 500 simulated SEU equivalent to 18 Years of worst case continuous operation at 40,000ft Each dot represents a frame in which an error was injected Red dots represent upsets that resulted in disturbance to operation of a break me circuit Most SEU have no effect Simulating SEU in your design helps you to observe the susceptibility of your circuits and focus on the effects to the important ones Design Feature DSP circuits PicoBlaze circuits ROM CRC calculator SEM IP and system controller Relative Susceptibly 59% 17% 24% 0% Percentage of observed disruptions to operation normalised to area occupied by feature Page 24

25 Break Me Designed To Break AND Report It! Latches any difference between two identical circuits Just 1-bit for 1-clock cycle is captured and reported Latch Counter 100% known input data! ROM LFSR DSP48E1 48 = In Latch Out Counter ROM LFSR DSP48E1 48 DSP Failure Matching 48-bit results every clock cycle However, a real DSP algorithm (eg FIR filter or FFT) - Computes results for sets of data samples which are unknown variables - Most calculations errors will be completely indistinguishable from signal noise - The upset will be temporary (eg <23ms) - Naturally flushes with clean data and results following correction Very low probability of any meaningful or observable disturbances Page 25

26 Break Me PicoBlaze Susceptibility or DVF PicoBlaze + interfacing logic = ~50 Slices (similar to a typical application) 400 PicoBlaze circuits occupy ~40% of the XC7K325T device 500 simulated SEU resulted in 16 disturbances to PicoBlaze operation PicoBlaze circuit susceptibility = (100% / 40%) (16/500) = 008 Design Vulnerability Factor (DVF) = 8% ie Only 1 in 125 SEU landing within the area occupied by a PicoBlaze circuit has an effect One (1) PicoBlaze circuit occupies ~01% of XC7K325TSlices Nominal SEU rate of XC7K325T device is 6,087 FIT (19 Years) 1 PicoBlaze circuit = 6,087 01% 8% = 049 FIT (234,424 Years) Anywhere on Earth (17 ) PicoBlaze circuit = 8 FIT (13,789 Years) 40,000ft anywhere (500 ) PicoBlaze circuit = 245 FIT (469 Years) Page 26

27 Categorisation of Events 100% Detection Observed results for a variety of real applications ( normalised for device utilisation ) 60-80% Completely miss the design - These upsets will never impact operation - But all SEU are detected and reported 10-40% Touch the design but either Have no effect on operation at all or No effect could be observed <10% will be observed to have any effect Eg PicoBlaze ~8% (in Break Me design) 2-5% is a typical observation rate <1% Impact product functionality (ie The ones that actually matter) Page 27

28 Typical Design Operational Disturbance Rates Kintex 7K325T SEU Detection Rate Operational Disturbance Rate (Continuous operation of >80% utilized device) Nominal 19 Years 190 to 950 Years Anywhere on Earth (17 ) 403 Days 10 to 51 Years Anywhere at 40,000ft (500 ) 14 Days 137 Days to 2 Years Page 28

29 How Do So Many SEU Miss My Design? Break Me design fills ~90% of the device but what does used actually mean? In a typical real design only 20% to 40% of configuration bits are used So that means 60% to 80% of upsets miss the design altogether (false alarms?) Page 29

30 What Happens to X If D Q Enable D Q A D Q B I 2 I 1 I 0 LUT O CE D Q X D Q C R Reset Page 30

31 Nothing Happens to X Unless D Q Enable When the upset is present (eg a 23ms window ) Enable = 1 D Q A A changes state D Q B I 2 I 1 I 0 LUT O CE D Q X D Q C B = 0 and C = 1 R Reset Reset = 0 Page 31

32 Risk Assessment Whole Device Let s take a look at the XC7K325T which is a mid-range Kintex-7 device 326,000 logic cells (ie not small!) 1Mb 05Mb of available user flip-flops 751Mb of static configuration 165Mb of available user RAM Page 32

33 Risk Assessment Resources Actually Used Every design is different so obviously better to work with actual values But let s accept some typical figures for now 015Mb of used flip-flops 30Mb of used or Essential Bits 12Mb of used RAM 1-7Mb of Critical Bits Page 33

34 Risk Assessment Not all flip-flops are the same! Flip-flops and RAM can easily be 10 to 4,000 times more susceptible 015Mb of flip-flops fabricated using 015Mb a typical of ASIC process used flip-flops 30Mb of used or Essential Bits 12Mb of used RAM 1-7Mb of Critical Bits Know what the figures are and what they mean before you make a decision Page 34

35 Being Practical Begins and Ends With UG116 Use the known to deal with the unknown! Always use the latest version Page 35

Self-Test and Adaptation for Random Variations in Reliability

Self-Test and Adaptation for Random Variations in Reliability Self-Test and Adaptation for Random Variations in Reliability Kenneth M. Zick and John P. Hayes University of Michigan, Ann Arbor, MI USA August 31, 2010 Motivation Physical variation is increasing dramatically

More information

Single-Event Upsets in the PANDA EMC

Single-Event Upsets in the PANDA EMC Single-Event Upsets in the PANDA EMC Results from a neutron irradiation of the front-end digitiser board M. Preston, P.-E. Tegnér (Stockholm University) H. Calén, T. Johansson, K. Makònyi, P. Marciniewski

More information

Towards Trusted Devices in FPGA by Modeling Radiation Induced Errors

Towards Trusted Devices in FPGA by Modeling Radiation Induced Errors Digital Design and Dependability Research Group FIT, CTU in Prague Towards Trusted Devices in FPGA by Modeling Radiation Induced Errors Tomáš Vaňát, Jan Pospíšil, Jan Schmidt {vanattom, pospij17,schmidt}@fit.cvut.cz

More information

Single Event Upset Hardening by 'hijacking' the multi-vt flow during synthesis

Single Event Upset Hardening by 'hijacking' the multi-vt flow during synthesis Single Event Upset Hardening by 'hijacking' the multi-vt flow during synthesis Roland Weigand February 04, 2013 Design Automation Conference User Track European Space Agency Microelectronics Section Author

More information

LogiCORE IP Spartan-6 FPGA Triple-Rate SDI v1.0

LogiCORE IP Spartan-6 FPGA Triple-Rate SDI v1.0 LogiCORE IP Spartan-6 FPGA Triple-Rate SDI v1.0 DS849 June 22, 2011 Introduction The LogiCORE IP Spartan -6 FPGA Triple-Rate SDI interface solution provides receiver and transmitter interfaces for the

More information

Product Update. JTAG Issues and the Use of RT54SX Devices

Product Update. JTAG Issues and the Use of RT54SX Devices Product Update Revision Date: September 2, 999 JTAG Issues and the Use of RT54SX Devices BACKGROUND The attached paper authored by Richard B. Katz of NASA GSFC and J. J. Wang of Actel describes anomalies

More information

Polar Decoder PD-MS 1.1

Polar Decoder PD-MS 1.1 Product Brief Polar Decoder PD-MS 1.1 Main Features Implements multi-stage polar successive cancellation decoder Supports multi-stage successive cancellation decoding for 16, 64, 256, 1024, 4096 and 16384

More information

Reconfigurable Architectures. Greg Stitt ECE Department University of Florida

Reconfigurable Architectures. Greg Stitt ECE Department University of Florida Reconfigurable Architectures Greg Stitt ECE Department University of Florida How can hardware be reconfigurable? Problem: Can t change fabricated chip ASICs are fixed Solution: Create components that can

More information

OF AN ADVANCED LUT METHODOLOGY BASED FIR FILTER DESIGN PROCESS

OF AN ADVANCED LUT METHODOLOGY BASED FIR FILTER DESIGN PROCESS IMPLEMENTATION OF AN ADVANCED LUT METHODOLOGY BASED FIR FILTER DESIGN PROCESS 1 G. Sowmya Bala 2 A. Rama Krishna 1 PG student, Dept. of ECM. K.L.University, Vaddeswaram, A.P, India, 2 Assistant Professor,

More information

Single Event Characterization of a Xilinx UltraScale+ MP-SoC FPGA

Single Event Characterization of a Xilinx UltraScale+ MP-SoC FPGA Single Event Characterization of a Xilinx UltraScale+ MP-SoC FPGA Thomas LANGE, Maximilien GLORIEUX, Adrian EVANS, A-Duong IN, Thierry BONNOIT, Dan ALEXANDRESCU iroc Technologies France Cesar BOATELLA

More information

EECS150 - Digital Design Lecture 18 - Circuit Timing (2) In General...

EECS150 - Digital Design Lecture 18 - Circuit Timing (2) In General... EECS150 - Digital Design Lecture 18 - Circuit Timing (2) March 17, 2010 John Wawrzynek Spring 2010 EECS150 - Lec18-timing(2) Page 1 In General... For correct operation: T τ clk Q + τ CL + τ setup for all

More information

Reconfigurable Communication Experiment using a small Japanese Test Satellite

Reconfigurable Communication Experiment using a small Japanese Test Satellite Reconfigurable Communication Experiment using a small Japanese Test Satellite Nozomu Nishinaga Space Communications Network Group National Institute of Information and Communications Technology (NICT CT)

More information

Low Cost Fault Detector Guided by Permanent Faults at the End of FPGAs Life Cycle Victor Manuel Gonçalves Martins

Low Cost Fault Detector Guided by Permanent Faults at the End of FPGAs Life Cycle Victor Manuel Gonçalves Martins Universidade Federal de Santa Catarina Dept. de Automação e Sistemas, CTC Low Cost Fault Detector Guided by Permanent Faults at the End of FPGAs Life Cycle (Victor Martins, Frederico Ferlini, Djones Lettnin

More information

Performance Driven Reliable Link Design for Network on Chips

Performance Driven Reliable Link Design for Network on Chips Performance Driven Reliable Link Design for Network on Chips Rutuparna Tamhankar Srinivasan Murali Prof. Giovanni De Micheli Stanford University Outline Introduction Objective Logic design and implementation

More information

EE178 Lecture Module 4. Eric Crabill SJSU / Xilinx Fall 2005

EE178 Lecture Module 4. Eric Crabill SJSU / Xilinx Fall 2005 EE178 Lecture Module 4 Eric Crabill SJSU / Xilinx Fall 2005 Lecture #9 Agenda Considerations for synchronizing signals. Clocks. Resets. Considerations for asynchronous inputs. Methods for crossing clock

More information

LFSRs as Functional Blocks in Wireless Applications Author: Stephen Lim and Andy Miller

LFSRs as Functional Blocks in Wireless Applications Author: Stephen Lim and Andy Miller XAPP22 (v.) January, 2 R Application Note: Virtex Series, Virtex-II Series and Spartan-II family LFSRs as Functional Blocks in Wireless Applications Author: Stephen Lim and Andy Miller Summary Linear Feedback

More information

Built-In Self-Test of Embedded SEU Detection Cores in Virtex-4 and Virtex-5 FPGAs

Built-In Self-Test of Embedded SEU Detection Cores in Virtex-4 and Virtex-5 FPGAs Built-In Self-Test of Embedded SEU Detection Cores in Virtex-4 and Virtex-5 FPGAs Bradley F. Dutton and Charles E. Stroud Dept. of Electrical and Computer Engineering Auburn University, Alabama Abstract

More information

Self Restoring Logic (SRL) Cell Targets Space Application Designs

Self Restoring Logic (SRL) Cell Targets Space Application Designs TND6199/D Rev. 0, SEPT 2015 Self Restoring Logic (SRL) Cell Targets Space Application Designs Semiconductor Components Industries, LLC, 2015 September, 2015 Rev. 0 1 Publication Order Number: TND6199/D

More information

Digilent Nexys-3 Cellular RAM Controller Reference Design Overview

Digilent Nexys-3 Cellular RAM Controller Reference Design Overview Digilent Nexys-3 Cellular RAM Controller Reference Design Overview General Overview This document describes a reference design of the Cellular RAM (or PSRAM Pseudo Static RAM) controller for the Digilent

More information

Tutorial 11 ChipscopePro, ISE 10.1 and Xilinx Simulator on the Digilent Spartan-3E board

Tutorial 11 ChipscopePro, ISE 10.1 and Xilinx Simulator on the Digilent Spartan-3E board Tutorial 11 ChipscopePro, ISE 10.1 and Xilinx Simulator on the Digilent Spartan-3E board Introduction This lab will be an introduction on how to use ChipScope for the verification of the designs done on

More information

EEM Digital Systems II

EEM Digital Systems II ANADOLU UNIVERSITY DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING EEM 334 - Digital Systems II LAB 3 FPGA HARDWARE IMPLEMENTATION Purpose In the first experiment, four bit adder design was prepared

More information

A Fast Constant Coefficient Multiplier for the XC6200

A Fast Constant Coefficient Multiplier for the XC6200 A Fast Constant Coefficient Multiplier for the XC6200 Tom Kean, Bernie New and Bob Slous Xilinx Inc. Abstract. We discuss the design of a high performance constant coefficient multiplier on the Xilinx

More information

Block Diagram. dw*3 pixin (RGB) pixin_vsync pixin_hsync pixin_val pixin_rdy. clk_a. clk_b. h_s, h_bp, h_fp, h_disp, h_line

Block Diagram. dw*3 pixin (RGB) pixin_vsync pixin_hsync pixin_val pixin_rdy. clk_a. clk_b. h_s, h_bp, h_fp, h_disp, h_line Key Design Features Block Diagram Synthesizable, technology independent IP Core for FPGA, ASIC and SoC reset underflow Supplied as human readable VHDL (or Verilog) source code Simple FIFO input interface

More information

BIST for Logic and Memory Resources in Virtex-4 FPGAs

BIST for Logic and Memory Resources in Virtex-4 FPGAs BIST for Logic and Memory Resources in Virtex-4 FPGAs Sachin Dhingra, Daniel Milton, and Charles E. Stroud Dept. of Electrical and Computer Engineering 200 Broun Hall, Auburn University, AL 36849-5201

More information

T1 Deframer. LogiCORE Facts. Features. Applications. General Description. Core Specifics

T1 Deframer. LogiCORE Facts. Features. Applications. General Description. Core Specifics November 10, 2000 Xilinx Inc. 2100 Logic Drive San Jose, CA 95124 Phone: +1 408-559-7778 Fax: +1 408-559-7114 E-mail: support@xilinx.com URL: www.xilinx.com/ipcenter Features Supports T1-D4 and T1-ESF

More information

2.6 Reset Design Strategy

2.6 Reset Design Strategy 2.6 Reset esign Strategy Many design issues must be considered before choosing a reset strategy for an ASIC design, such as whether to use synchronous or asynchronous resets, will every flipflop receive

More information

EE178 Spring 2018 Lecture Module 5. Eric Crabill

EE178 Spring 2018 Lecture Module 5. Eric Crabill EE178 Spring 2018 Lecture Module 5 Eric Crabill Goals Considerations for synchronizing signals Clocks Resets Considerations for asynchronous inputs Methods for crossing clock domains Clocks The academic

More information

Voter Insertion Techniques for Fault Tolerant FPGA Design.

Voter Insertion Techniques for Fault Tolerant FPGA Design. Voter Insertion Techniques for Fault Tolerant FPGA Design. Jonathan Johnson Michael Wirthlin NSF Center for High Performance Reconfigurable Computing (CHREC) Dept. of Elec. & Comp. Engineering Brigham

More information

Synchronization Voter Insertion Algorithms for FPGA Designs Using Triple Modular Redundancy

Synchronization Voter Insertion Algorithms for FPGA Designs Using Triple Modular Redundancy Brigham Young University BYU ScholarsArchive All Theses and Dissertations 2010-03-10 Synchronization Voter Insertion Algorithms for FPGA Designs Using Triple Modular Redundancy Jonathan Mark Johnson Brigham

More information

L12: Reconfigurable Logic Architectures

L12: Reconfigurable Logic Architectures L12: Reconfigurable Logic Architectures Acknowledgements: Materials in this lecture are courtesy of the following sources and are used with permission. Frank Honore Prof. Randy Katz (Unified Microelectronics

More information

DESIGNING AN ECU CPU FOR RADIATION ENVIRONMENT. Matthew G. M. Yee College of Engineering University of Hawai`i at Mānoa Honolulu, HI ABSTRACT

DESIGNING AN ECU CPU FOR RADIATION ENVIRONMENT. Matthew G. M. Yee College of Engineering University of Hawai`i at Mānoa Honolulu, HI ABSTRACT DESIGNING AN ECU CPU FOR RADIATION ENVIRONMENT Matthew G. M. Yee College of Engineering University of Hawai`i at Mānoa Honolulu, HI 96822 ABSTRACT NASA s objective is to colonize the planet Mars, for the

More information

RELATED WORK Integrated circuits and programmable devices

RELATED WORK Integrated circuits and programmable devices Chapter 2 RELATED WORK 2.1. Integrated circuits and programmable devices 2.1.1. Introduction By the late 1940s the first transistor was created as a point-contact device formed from germanium. Such an

More information

EMPTY and FULL Flag Behaviors of the Axcelerator FIFO Controller

EMPTY and FULL Flag Behaviors of the Axcelerator FIFO Controller Application Note AC228 and FULL Flag Behaviors of the Axcelerator FIFO Controller Introduction The purpose of this application note is to specifically illustrate the following two behaviors of the FULL

More information

FPGA Design. Part I - Hardware Components. Thomas Lenzi

FPGA Design. Part I - Hardware Components. Thomas Lenzi FPGA Design Part I - Hardware Components Thomas Lenzi Approach We believe that having knowledge of the hardware components that compose an FPGA allow for better firmware design. Being able to visualise

More information

data and is used in digital networks and storage devices. CRC s are easy to implement in binary

data and is used in digital networks and storage devices. CRC s are easy to implement in binary Introduction Cyclic redundancy check (CRC) is an error detecting code designed to detect changes in transmitted data and is used in digital networks and storage devices. CRC s are easy to implement in

More information

EITF35: Introduction to Structured VLSI Design

EITF35: Introduction to Structured VLSI Design EITF35: Introduction to Structured VLSI Design Part 4.2.1: Learn More Liang Liu liang.liu@eit.lth.se 1 Outline Crossing clock domain Reset, synchronous or asynchronous? 2 Why two DFFs? 3 Crossing clock

More information

Why FPGAs? FPGA Overview. Why FPGAs?

Why FPGAs? FPGA Overview. Why FPGAs? Transistor-level Logic Circuits Positive Level-sensitive EECS150 - Digital Design Lecture 3 - Field Programmable Gate Arrays (FPGAs) January 28, 2003 John Wawrzynek Transistor Level clk clk clk Positive

More information

Field Programmable Gate Arrays (FPGAs)

Field Programmable Gate Arrays (FPGAs) Field Programmable Gate Arrays (FPGAs) Introduction Simulations and prototyping have been a very important part of the electronics industry since a very long time now. Before heading in for the actual

More information

VID_OVERLAY. Digital Video Overlay Module Rev Key Design Features. Block Diagram. Applications. Pin-out Description

VID_OVERLAY. Digital Video Overlay Module Rev Key Design Features. Block Diagram. Applications. Pin-out Description Key Design Features Block Diagram Synthesizable, technology independent VHDL IP Core Video overlays on 24-bit RGB or YCbCr 4:4:4 video Supports all video resolutions up to 2 16 x 2 16 pixels Supports any

More information

https://daffy1108.wordpress.com/2014/06/08/synchronizers-for-asynchronous-signals/

https://daffy1108.wordpress.com/2014/06/08/synchronizers-for-asynchronous-signals/ https://daffy1108.wordpress.com/2014/06/08/synchronizers-for-asynchronous-signals/ Synchronizers for Asynchronous Signals Asynchronous signals causes the big issue with clock domains, namely metastability.

More information

PROCESSOR BASED TIMING SIGNAL GENERATOR FOR RADAR AND SENSOR APPLICATIONS

PROCESSOR BASED TIMING SIGNAL GENERATOR FOR RADAR AND SENSOR APPLICATIONS PROCESSOR BASED TIMING SIGNAL GENERATOR FOR RADAR AND SENSOR APPLICATIONS Application Note ABSTRACT... 3 KEYWORDS... 3 I. INTRODUCTION... 4 II. TIMING SIGNALS USAGE AND APPLICATION... 5 III. FEATURES AND

More information

L11/12: Reconfigurable Logic Architectures

L11/12: Reconfigurable Logic Architectures L11/12: Reconfigurable Logic Architectures Acknowledgements: Materials in this lecture are courtesy of the following people and used with permission. - Randy H. Katz (University of California, Berkeley,

More information

Notes on Digital Circuits

Notes on Digital Circuits PHYS 331: Junior Physics Laboratory I Notes on Digital Circuits Digital circuits are collections of devices that perform logical operations on two logical states, represented by voltage levels. Standard

More information

Soft Errors re-examined

Soft Errors re-examined Soft Errors re-examined Jamil R. Mazzawi Founder and CEO www.optima-da.com Optima Design Automation Ltd 1 v1.2 Topics: Soft errors: definitions FIT Rate Soft-errors problem strengthening in new nodes Logical

More information

Understanding Design Requirements for Building Reliable, Space-Based FPGA MGT Systems Based on Radiation Test Results

Understanding Design Requirements for Building Reliable, Space-Based FPGA MGT Systems Based on Radiation Test Results Brigham Young University BYU ScholarsArchive All Theses and Dissertations 2012-03-20 Understanding Design Requirements for Building Reliable, Space-Based FPGA MGT Systems Based on Radiation Test Results

More information

EE141-Fall 2010 Digital Integrated Circuits. Announcements. Homework #8 due next Tuesday. Project Phase 3 plan due this Sat.

EE141-Fall 2010 Digital Integrated Circuits. Announcements. Homework #8 due next Tuesday. Project Phase 3 plan due this Sat. EE141-Fall 2010 Digital Integrated Circuits Lecture 24 Timing 1 1 Announcements Homework #8 due next Tuesday Project Phase 3 plan due this Sat. Hanh-Phuc s extra office hours shifted next week Tues. 3-4pm

More information

Lossless Compression Algorithms for Direct- Write Lithography Systems

Lossless Compression Algorithms for Direct- Write Lithography Systems Lossless Compression Algorithms for Direct- Write Lithography Systems Hsin-I Liu Video and Image Processing Lab Department of Electrical Engineering and Computer Science University of California at Berkeley

More information

Available online at ScienceDirect. Procedia Computer Science 46 (2015 ) Aida S Tharakan a *, Binu K Mathew b

Available online at  ScienceDirect. Procedia Computer Science 46 (2015 ) Aida S Tharakan a *, Binu K Mathew b Available online at www.sciencedirect.com ScienceDirect Procedia Computer Science 46 (2015 ) 1409 1416 International Conference on Information and Communication Technologies (ICICT 2014) Design and Implementation

More information

Gated Driver Tree Based Power Optimized Multi-Bit Flip-Flops

Gated Driver Tree Based Power Optimized Multi-Bit Flip-Flops International Journal of Emerging Engineering Research and Technology Volume 2, Issue 4, July 2014, PP 250-254 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) Gated Driver Tree Based Power Optimized Multi-Bit

More information

Block Diagram. pixin. pixin_field. pixin_vsync. pixin_hsync. pixin_val. pixin_rdy. pixels_per_line. lines_per_field. pixels_per_line [11:0]

Block Diagram. pixin. pixin_field. pixin_vsync. pixin_hsync. pixin_val. pixin_rdy. pixels_per_line. lines_per_field. pixels_per_line [11:0] Rev 13 Key Design Features Block Diagram Synthesizable, technology independent IP Core for FPGA and ASIC Supplied as human readable VHDL (or Verilog) source code reset deint_mode 24-bit RGB video support

More information

Block Diagram. 16/24/32 etc. pixin pixin_sof pixin_val. Supports 300 MHz+ operation on basic FPGA devices 2 Memory Read/Write Arbiter SYSTEM SIGNALS

Block Diagram. 16/24/32 etc. pixin pixin_sof pixin_val. Supports 300 MHz+ operation on basic FPGA devices 2 Memory Read/Write Arbiter SYSTEM SIGNALS Key Design Features Block Diagram Synthesizable, technology independent IP Core for FPGA, ASIC or SoC Supplied as human readable VHDL (or Verilog) source code Output supports full flow control permitting

More information

Design for Testability

Design for Testability TDTS 01 Lecture 9 Design for Testability Zebo Peng Embedded Systems Laboratory IDA, Linköping University Lecture 9 The test problems Fault modeling Design for testability techniques Zebo Peng, IDA, LiTH

More information

LogiCORE IP Video Timing Controller v3.0

LogiCORE IP Video Timing Controller v3.0 LogiCORE IP Video Timing Controller v3.0 Product Guide Table of Contents Chapter 1: Overview Standards Compliance....................................................... 6 Feature Summary............................................................

More information

Irradiation Resistivity and Mitigation Measurement Design for Xilinx Kintex-7 FPGAs

Irradiation Resistivity and Mitigation Measurement Design for Xilinx Kintex-7 FPGAs Irradiation Resistivity and Mitigation Measurement Design for Xilinx Kintex-7 FPGAs Master Thesis in Microelectronics Lukas On Arnold Institute of Microelectronics, School of Engineering, University of

More information

EE141-Fall 2010 Digital Integrated Circuits. Announcements. Synchronous Timing. Latch Parameters. Class Material. Homework #8 due next Tuesday

EE141-Fall 2010 Digital Integrated Circuits. Announcements. Synchronous Timing. Latch Parameters. Class Material. Homework #8 due next Tuesday EE-Fall 00 Digital tegrated Circuits Timing Lecture Timing Announcements Homework #8 due next Tuesday Synchronous Timing Project Phase plan due this Sat. Hanh-Phuc s extra office hours shifted next week

More information

Design of Fault Coverage Test Pattern Generator Using LFSR

Design of Fault Coverage Test Pattern Generator Using LFSR Design of Fault Coverage Test Pattern Generator Using LFSR B.Saritha M.Tech Student, Department of ECE, Dhruva Institue of Engineering & Technology. Abstract: A new fault coverage test pattern generator

More information

C65SPACE-HSSL Gbps multi-rate, multi-lane, SerDes macro IP. Description. Features

C65SPACE-HSSL Gbps multi-rate, multi-lane, SerDes macro IP. Description. Features 6.25 Gbps multi-rate, multi-lane, SerDes macro IP Data brief Txdata1_in Tx1_clk Bist1 Rxdata1_out Rx1_clk Txdata2_in Tx2_clk Bist2 Rxdata2_out Rx2_clk Txdata3_in Tx3_clk Bist3 Rxdata3_out Rx3_clk Txdata4_in

More information

ESE534: Computer Organization. Today. Image Processing. Retiming Demand. Preclass 2. Preclass 2. Retiming Demand. Day 21: April 14, 2014 Retiming

ESE534: Computer Organization. Today. Image Processing. Retiming Demand. Preclass 2. Preclass 2. Retiming Demand. Day 21: April 14, 2014 Retiming ESE534: Computer Organization Today Retiming Demand Folded Computation Day 21: April 14, 2014 Retiming Logical Pipelining Physical Pipelining Retiming Supply Technology Structures Hierarchy 1 2 Image Processing

More information

Lecture #4: Clocking in Synchronous Circuits

Lecture #4: Clocking in Synchronous Circuits Lecture #4: Clocking in Synchronous Circuits Kunle Stanford EE183 January 15, 2003 Tutorial/Verilog Questions? Tutorial is done, right? Due at midnight (Fri 1/17/03) Turn in copies of all verilog, copy

More information

Using on-chip Test Pattern Compression for Full Scan SoC Designs

Using on-chip Test Pattern Compression for Full Scan SoC Designs Using on-chip Test Pattern Compression for Full Scan SoC Designs Helmut Lang Senior Staff Engineer Jens Pfeiffer CAD Engineer Jeff Maguire Principal Staff Engineer Motorola SPS, System-on-a-Chip Design

More information

Metastability Analysis of Synchronizer

Metastability Analysis of Synchronizer Forn International Journal of Scientific Research in Computer Science and Engineering Research Paper Vol-1, Issue-3 ISSN: 2320 7639 Metastability Analysis of Synchronizer Ankush S. Patharkar *1 and V.

More information

Reconfigurable FPGA Implementation of FIR Filter using Modified DA Method

Reconfigurable FPGA Implementation of FIR Filter using Modified DA Method Reconfigurable FPGA Implementation of FIR Filter using Modified DA Method M. Backia Lakshmi 1, D. Sellathambi 2 1 PG Student, Department of Electronics and Communication Engineering, Parisutham Institute

More information

Overview: Logic BIST

Overview: Logic BIST VLSI Design Verification and Testing Built-In Self-Test (BIST) - 2 Mohammad Tehranipoor Electrical and Computer Engineering University of Connecticut 23 April 2007 1 Overview: Logic BIST Motivation Built-in

More information

EECS150 - Digital Design Lecture 10 - Interfacing. Recap and Topics

EECS150 - Digital Design Lecture 10 - Interfacing. Recap and Topics EECS150 - Digital Design Lecture 10 - Interfacing Oct. 1, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy of Prof. John Wawrzynek)

More information

LogiCORE IP AXI Video Direct Memory Access v5.01.a

LogiCORE IP AXI Video Direct Memory Access v5.01.a LogiCORE IP AXI Video Direct Memory Access v5.01.a Product Guide Table of Contents Chapter 1: Overview Feature Summary.................................................................. 9 Applications.....................................................................

More information

T-COR-11 FPGA IP CORE FOR TRACKING OBJECTS IN VIDEO STREAM IMAGES Programmer manual

T-COR-11 FPGA IP CORE FOR TRACKING OBJECTS IN VIDEO STREAM IMAGES Programmer manual T-COR-11 FPGA IP CORE FOR TRACKING OBJECTS IN VIDEO STREAM IMAGES Programmer manual IP core version: 1.1 Date: 28.09.2015 CONTENTS INTRODUCTION... 3 CORE VERSIONS... 3 BASIC CHARACTERISTICS... 3 DESCRIPTION

More information

Notes on Digital Circuits

Notes on Digital Circuits PHYS 331: Junior Physics Laboratory I Notes on Digital Circuits Digital circuits are collections of devices that perform logical operations on two logical states, represented by voltage levels. Standard

More information

ECE 555 DESIGN PROJECT Introduction and Phase 1

ECE 555 DESIGN PROJECT Introduction and Phase 1 March 15, 1998 ECE 555 DESIGN PROJECT Introduction and Phase 1 Charles R. Kime Dept. of Electrical and Computer Engineering University of Wisconsin Madison Phase I Due Wednesday, March 24; One Week Grace

More information

FPGA Based Implementation of Convolutional Encoder- Viterbi Decoder Using Multiple Booting Technique

FPGA Based Implementation of Convolutional Encoder- Viterbi Decoder Using Multiple Booting Technique FPGA Based Implementation of Convolutional Encoder- Viterbi Decoder Using Multiple Booting Technique Dr. Dhafir A. Alneema (1) Yahya Taher Qassim (2) Lecturer Assistant Lecturer Computer Engineering Dept.

More information

High Performance Carry Chains for FPGAs

High Performance Carry Chains for FPGAs High Performance Carry Chains for FPGAs Matthew M. Hosler Department of Electrical and Computer Engineering Northwestern University Abstract Carry chains are an important consideration for most computations,

More information

A Low Power Delay Buffer Using Gated Driver Tree

A Low Power Delay Buffer Using Gated Driver Tree IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) ISSN: 2319 4200, ISBN No. : 2319 4197 Volume 1, Issue 4 (Nov. - Dec. 2012), PP 26-30 A Low Power Delay Buffer Using Gated Driver Tree Kokkilagadda

More information

SoC IC Basics. COE838: Systems on Chip Design

SoC IC Basics. COE838: Systems on Chip Design SoC IC Basics COE838: Systems on Chip Design http://www.ee.ryerson.ca/~courses/coe838/ Dr. Gul N. Khan http://www.ee.ryerson.ca/~gnkhan Electrical and Computer Engineering Ryerson University Overview SoC

More information

in Xilinx Devices each) Input/Output Blocks XtremeDSP slices (DSP48) System Monitor Block

in Xilinx Devices each) Input/Output Blocks XtremeDSP slices (DSP48) System Monitor Block Single Event psets in Xilinx Devices Virtex-4 FPGA J. George, R. Koga, G. Swift, G. Allen, C. Carmichael, and C. W. Tseng [2]. The LX family is weighted more heavily toward logic resources, the SX toward

More information

Modeling Latches and Flip-flops

Modeling Latches and Flip-flops Lab Workbook Introduction Sequential circuits are digital circuits in which the output depends not only on the present input (like combinatorial circuits), but also on the past sequence of inputs. In effect,

More information

Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA

Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA M.V.M.Lahari 1, M.Mani Kumari 2 1,2 Department of ECE, GVPCEOW,Visakhapatnam. Abstract The increasing growth of sub-micron

More information

Modeling Latches and Flip-flops

Modeling Latches and Flip-flops Lab Workbook Introduction Sequential circuits are the digital circuits in which the output depends not only on the present input (like combinatorial circuits), but also on the past sequence of inputs.

More information

Dynamically Reconfigurable FIR Filter Architectures with Fast Reconfiguration

Dynamically Reconfigurable FIR Filter Architectures with Fast Reconfiguration Dynamically Reconfigurable FIR Filter Architectures with Fast Reconfiguration Martin Kumm, Konrad Möller and Peter Zipf University of Kassel, Germany FIR FILTER Fundamental component in digital signal

More information

Radiation Effects and Mitigation Techniques for FPGAs

Radiation Effects and Mitigation Techniques for FPGAs Radiation Effects and Mitigation Techniques for FPGAs Fernanda Lima Kastensmidt Universidade Federal do Rio Grande do Sul (UFRGS) Contact: fglima@inf.ufrgs.br Field Programmable Gate Arrays A type of gate

More information

Memory Interfaces Data Capture Using Direct Clocking Technique Author: Maria George

Memory Interfaces Data Capture Using Direct Clocking Technique Author: Maria George Application Note: Virtex-4 Family R XAPP701 (v1.4) October 2, 2006 Memory Interfaces Data Capture Using Direct Clocking Technique Author: Maria George Summary This application note describes the direct-clocking

More information

VA08V Multi State Viterbi Decoder. Small World Communications. VA08V Features. Introduction. Signal Descriptions

VA08V Multi State Viterbi Decoder. Small World Communications. VA08V Features. Introduction. Signal Descriptions Multi State Viterbi ecoder Features 16, 32, 64 or 256 states (memory m = 4, 5, 6 or 8, constraint lengths 5, 6, 7 or 9) Viterbi decoder Up to 398 MHz internal clock Up to 39.8 Mbit/s for 16, 32 or 64 states

More information

FPGA implementation of a DCDS processor Simon Tulloch European Southern Observatory, Karl Schwarzschild Strasse 2, Garching, 85748, Germany.

FPGA implementation of a DCDS processor Simon Tulloch European Southern Observatory, Karl Schwarzschild Strasse 2, Garching, 85748, Germany. FPGA implementation of a DCDS processor Simon Tulloch European Southern Observatory, Karl Schwarzschild Strasse 2, Garching, 85748, Germany. Abstract. An experimental digital correlated double sampler

More information

FPGA Design with VHDL

FPGA Design with VHDL FPGA Design with VHDL Justus-Liebig-Universität Gießen, II. Physikalisches Institut Ming Liu Dr. Sören Lange Prof. Dr. Wolfgang Kühn ming.liu@physik.uni-giessen.de Lecture Digital design basics Basic logic

More information

EECS150 - Digital Design Lecture 3 Synchronous Digital Systems Review. Announcements

EECS150 - Digital Design Lecture 3 Synchronous Digital Systems Review. Announcements EECS150 - Digital Design Lecture 3 Synchronous Digital Systems Review September 1, 2011 Elad Alon Electrical Engineering and Computer Sciences University of California, Berkeley http://www-inst.eecs.berkeley.edu/~cs150

More information

for Digital IC's Design-for-Test and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ

for Digital IC's Design-for-Test and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ Design-for-Test for Digital IC's and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ 07458 www.phptr.com ISBN D-13-DflMfla7-l : Ml H Contents Preface Acknowledgments Introduction

More information

March 13, :36 vra80334_appe Sheet number 1 Page number 893 black. appendix. Commercial Devices

March 13, :36 vra80334_appe Sheet number 1 Page number 893 black. appendix. Commercial Devices March 13, 2007 14:36 vra80334_appe Sheet number 1 Page number 893 black appendix E Commercial Devices In Chapter 3 we described the three main types of programmable logic devices (PLDs): simple PLDs, complex

More information

A Reconfigurable, Radiation Tolerant Flexible Communication Platform (FCP) S-Band Radio for Variable Orbit Space Use

A Reconfigurable, Radiation Tolerant Flexible Communication Platform (FCP) S-Band Radio for Variable Orbit Space Use A Reconfigurable, Radiation Tolerant Flexible Communication Platform (FCP) S-Band Radio for Variable Orbit Space Use Michael Epperly Christopher Sauer, John Dickinson Southwest Research Institute 6220

More information

Measurements of metastability in MUTEX on an FPGA

Measurements of metastability in MUTEX on an FPGA LETTER IEICE Electronics Express, Vol.15, No.1, 1 11 Measurements of metastability in MUTEX on an FPGA Nguyen Van Toan, Dam Minh Tung, and Jeong-Gun Lee a) E-SoC Lab/Smart Computing Lab, Dept. of Computer

More information

VLSI IEEE Projects Titles LeMeniz Infotech

VLSI IEEE Projects Titles LeMeniz Infotech VLSI IEEE Projects Titles -2019 LeMeniz Infotech 36, 100 feet Road, Natesan Nagar(Near Indira Gandhi Statue and Next to Fish-O-Fish), Pondicherry-605 005 Web : www.ieeemaster.com / www.lemenizinfotech.com

More information

An Efficient Reduction of Area in Multistandard Transform Core

An Efficient Reduction of Area in Multistandard Transform Core An Efficient Reduction of Area in Multistandard Transform Core A. Shanmuga Priya 1, Dr. T. K. Shanthi 2 1 PG scholar, Applied Electronics, Department of ECE, 2 Assosiate Professor, Department of ECE Thanthai

More information

EN2911X: Reconfigurable Computing Topic 01: Programmable Logic. Prof. Sherief Reda School of Engineering, Brown University Fall 2014

EN2911X: Reconfigurable Computing Topic 01: Programmable Logic. Prof. Sherief Reda School of Engineering, Brown University Fall 2014 EN2911X: Reconfigurable Computing Topic 01: Programmable Logic Prof. Sherief Reda School of Engineering, Brown University Fall 2014 1 Contents 1. Architecture of modern FPGAs Programmable interconnect

More information

Design and Implementation of Partial Reconfigurable Fir Filter Using Distributed Arithmetic Architecture

Design and Implementation of Partial Reconfigurable Fir Filter Using Distributed Arithmetic Architecture Design and Implementation of Partial Reconfigurable Fir Filter Using Distributed Arithmetic Architecture Vinaykumar Bagali 1, Deepika S Karishankari 2 1 Asst Prof, Electrical and Electronics Dept, BLDEA

More information

RFI MITIGATING RECEIVER BACK-END FOR RADIOMETERS

RFI MITIGATING RECEIVER BACK-END FOR RADIOMETERS RFI MITIGATING RECEIVER BACK-END FOR RADIOMETERS Phaneendra Bikkina 1, Qingjun Fan 2, Wenlan Wu 1, Jinghong Chen 2 and Esko Mikkola 1 1 Alphacore, Inc., 2 University of Houston 2017 CASPER Workshop Pasadena,

More information

Level and edge-sensitive behaviour

Level and edge-sensitive behaviour Level and edge-sensitive behaviour Asynchronous set/reset is level-sensitive Include set/reset in sensitivity list Put level-sensitive behaviour first: process (clock, reset) is begin if reset = '0' then

More information

A Tool For Run Time Soft Error Fault Injection. Into FPGA Circuits

A Tool For Run Time Soft Error Fault Injection. Into FPGA Circuits A Tool For Run Time Soft Error Fault Injection Into FPGA Circuits A TOOL FOR RUN TIME SOFT ERROR FAULT INJECTION INTO FPGA CIRCUITS BY MARVIN ZUZARTE, B.Eng. a thesis submitted to the department of Computing

More information

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits N.Brindha, A.Kaleel Rahuman ABSTRACT: Auto scan, a design for testability (DFT) technique for synchronous sequential circuits.

More information

An Introduction to Radiation-Induced Failure Modes and Related Mitigation Methods For Xilinx SRAM FPGAs

An Introduction to Radiation-Induced Failure Modes and Related Mitigation Methods For Xilinx SRAM FPGAs n Introduction to Radiation-Induced Failure Modes and Related Mitigation Methods For Xilinx SRM FPGs Heather Quinn, Paul Graham, Keith Morgan, Jim Krone, Michael Caffrey, and Michael Wirthlin bstract Over

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET476) Lecture 9 (2) Built-In-Self Test (Chapter 5) Said Hamdioui Computer Engineering Lab Delft University of Technology 29-2 Learning aims Describe the concept and

More information

AbhijeetKhandale. H R Bhagyalakshmi

AbhijeetKhandale. H R Bhagyalakshmi Sobel Edge Detection Using FPGA AbhijeetKhandale M.Tech Student Dept. of ECE BMS College of Engineering, Bangalore INDIA abhijeet.khandale@gmail.com H R Bhagyalakshmi Associate professor Dept. of ECE BMS

More information

Fault Detection And Correction Using MLD For Memory Applications

Fault Detection And Correction Using MLD For Memory Applications Fault Detection And Correction Using MLD For Memory Applications Jayasanthi Sambbandam & G. Jose ECE Dept. Easwari Engineering College, Ramapuram E-mail : shanthisindia@yahoo.com & josejeyamani@gmail.com

More information

INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY

INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY Tarannum Pathan,, 2013; Volume 1(8):655-662 INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY A PATH FOR HORIZING YOUR INNOVATIVE WORK VLSI IMPLEMENTATION OF 8, 16 AND 32

More information