A LOW COMPLEXITY CODE COMPRESSION BASED ON HYBRID RLC-BM CODES

Size: px
Start display at page:

Download "A LOW COMPLEXITY CODE COMPRESSION BASED ON HYBRID RLC-BM CODES"

Transcription

1 Volume 118 No , ISSN: (on-line version) url: ijpam.eu A LOW COMPLEXITY CODE COMPRESSION BASED ON HYBRID RLC-BM CODES Satheesh Kumar J M.E.,(Ph.D) Assistant Professor, Dept. of ECE Hindusthan College of Engineering and Technology Coimbatore-32 Abstract : Bit stream compression is important in reconfigurable system design since it reduces the bit stream size and the memory requirement. It also improves the communication bandwidth and thereby decreases the reconfiguration time. Dictionary based code compression techniques are popular because they provide both good compression ratio and fast decompression mechanism. The efficiency of these techniques is limited by the number of bit changes used during compression. The cost of storing the information is more for repeating instruction sequences. The original data are compressed using codeword-length constrained Bitmask code compression (CLCBCC) with Mixed-bit saving dictionary selection (MBSDS) algorithm. CLCBCC with MBSDS algorithm gives the combined advantages of both the algorithms. Run length encoding (RLE) of consecutive repeating bit sequences may yield a better compression result. To represent such encoding no extra bits are needed. The compressed words are run length encoded Anthony Babu A Deepika P S Hemalatha S Dinesh Kumar A UG Scholars, Dept of ECE, Hindusthan College of Engineering and Technology, Coimbatore-32 only if the RLE yields a shorter code length than the original bitmask encoding. This ensures faster execution and memory saving. The proposed method also ensures that the decompression efficiency remains the same as that of the existing methods. Keywords: dictionary based code compression, bit masking,run length coding, compressed data, decompression technique. I. INTRODUCTION Integrated circuits were made possible that which the semiconductor devices could perform the functions of vacuum tubes using millions of transistors in a single silicon chip. While designing an integrated circuit power speed and area are the three major things taken in consideration. ICs have consistently migrated to smaller feature sizes over the years, allowing more circuitry to be packed on each chip. As the feature size 4753

2 shrinks, almost everything improves - the cost per unit and the switching power consumption go down, and the speed goes up. Wolfe and Chanin [1] first proposed code compression for reducing the program size to conserve memory usage. It leads to reduction in cost. After that many code compression algorithms have been derived to code size, power consumption as well as to improve the performance. The complexity of compression techniques grow rapidly results in additional memory usage and decompression technique also become difficult. To taper both problems become challenges to vlsi systems. Dictionary-based code compression (DCC) [2] can be used to achieve an efficient compression ratio. It posses a relatively simple decoding hardware and provide a higher compression bandwidth. Although several compression algorithms are available. No single compression algorithm worked for all kinds of benchmarks. In this paper,we have combined three algorithms to increase the compression performance with smaller hardware overhead. Bitmask code compression (BCC) algorithm[3] [4] can be used for the compression of data after dictionary selection and then Run length coding is used for further reduction. The remainder of the paper is organized as follows. section II explain about the related works on code compression. section III describes about both dictionary based selection and bit masking algorithm. section IV describes about the proposed hybrid RLC and BM codes. section V presents the experimental results and report using Modelsim and Xilinx software's. section VI,Finally the conclusion is drawn here. II.RELATED WORK Numerous data compression algorithms have been applied to compress code efficiently. Wolfe and Chanin [1] were the first to use 4754

3 Huffman coding on microprocessor without pipeline stages. A line address table maps the compressed block addresses to actual addresses when the cache misses and branch instructions are encountered. Based on the same concept, Lekatas and wolf [5] applied arithmetic coding with Markov model to reduced instruction set computing processor. Larin and Conte[6] applied Huffman coding to VLIW processors. Xie et al.[7] used Tunstall coding and arithmetic coding to perform variable to fixed compression on VLIW processors. Lin et al.[8]proposed a code compression for VLIW processors. Lin et al.[9] proposed selective code compression. Bonny and Henkel [10] conjunction with filled buffer technique and extended blocks. Qin and Mishra [11] used bounded Huffman coding to compress instructions and proposed a bit stream parallely decompressed. Bonny and Henkel [12] used left-uncompressed instructions and compressed instructions. It improves the performance of decompression engine. Lefurgy et al.[2] proposed the first DCC algorithm. Gorjiara et al.[13] used DCC with multi dictionary for NISC. Ros and Sutton [14] proposed hamming distances. Qin et al.[15] combined BCC and run length coding for improved performance of the compression technique. Recent research in code compression focused on combining various compression techniques to get optimized compression ratio. Wang and Lin observed that no single compression works efficiently. Based on the Wei Jhih Wang and Chang Hong Lin proposed the combination of both CLBCC and DCC. The algorithm we have derived can be applicable for several applications using smaller hardware overhead. New technique to enhance compression and decompression engine performance. 4755

4 III. DCC AND BITMASK ALGORITHMS Dictionary based code compression techniques are popular because they provide both good compression ratio and fast decompression mechanism. The efficiency of these techniques is limited by the number of bit changes used during compression. The cost of storing the information for more repeating instruction sequences. The input configuration bit-stream is read sequentially in the reverse order. Then, the dictionary and the index are derived based on the principles of the well-known compression algorithm. The original configuration bit-stream can be reconstructed by parsing the dictionary with respect to the index in reverse order. The achieved compression ratio is the ratio of the total memory requirements (i.e., dictionary and index) to the size of the bit-stream. The frequency distributions were similar for all the benchmarks. Compressing these highfrequency instructions with the same codeword length as other lowfrequency instructions would result in inefficient compression. To overcome this problem, these high-frequency instructions are separated into another small dictionary to obtain shorter codeword lengths. Two LUTs are used for the Bitmask approach. A large LUT is used to compress single instructions, and a small LUT is used to compress the extremely highfrequency instructions. The small LUT was modifiable for storing either single instructions or instruction sequences. An MBSDS first transforms every unique instruction into a single node. Two directional edges between two nodes indicate that these two instructions were matched to each other using the Bitmask compression approach. The proposed algorithm then calculates the bit saving of all nodes, and inserts the most profitable node into the dictionary. The most 4756

5 profitable node is then removed from the graph. Since all the neighboring nodes of the most profitable node can be covered by the most profitable node, the node saving of each neighboring node should subtract the edge saving from the edge with the most profitable node. Furthermore, all the edges of the neighboring nodes are removed. These steps are repeated until the dictionary is full. The code bits for compression is given below, 00-Uncompresssed 01-compressed with small LUT 10-compressed with small LUT 11-bitmask Vector A Vector A Vector A Vector A Vector B index BigLUT Vector C Vector C Vector C Vector D index smalllut Vector E Vector F Vector F Vector F Vector G Vector G Vector H mask position mask value Fig 1.CLCBCC with MBSDS IV. PROPOSED ALGORITHM In this section, the proposed algorithms are described. A separate dictionary used to reduce the code word length. The process is explained as block diagram given below, original code Fig 2.block diagram for compression In certain cases, such as in low code density architecture which contains small lut a high number of unique instructions, because of algorithm characteristics, a Large LUT requires a large chip area, additional power consumption. Thus, it is desirable to minimize the dictionary size. Two LUTs are used here and Bit masking is used then. After the above processes Run length encoding is executed. Dictionary selection bitmask RLC output big lut 4757

6 Run-length encoding (RLE) is a very simple form of data compression in which runs of data (that is, sequences in which the same data value occurs in many consecutive data elements) are stored as a single data value and count, rather than as the original run. This is most useful on data that contains many such runs: for example, simple graphic images such as icons, line drawings, and animations. It is not useful with files that don't have many runs as it could greatly increase the file size. The compressed words are run length encoded only if the RLE yields a shorter code length than the original bitmask encoding [16]. In other words, if there are R repetitions of code with length L and the number of bits required to encode them using RLE is L bits, RLE is used only if R*L > L bits. Since RLE is performed independently, the bit savings calculation during dictionary selection should be modified accordingly to model the effect of RLE. The different types of encoding process is represented in the given fig. Fig3 Run length encoding variants Bit-, Byte-, and Pixel-Level RLE Schemes The basic flow of all RLE algorithms is the same, as illustrated in Figure 4 Fig 4. flow chart of run length encoding 4758

7 we can use this RLC algorithm either before this DCC and Bitmask or else vice versa. But according to our analysis it's better to apply RLC after DCC and Bitmask. Algorithm: input: 1. bit streams to be compressed as vector. 2.small dictionary 3.big dictionary 4.mask types output: compressed code. begin step 1: comparing data's with both LUT and then compress it. step 2: If it is not suit for above one go for bitmask. step 3: The above compressed data's are compressed through RLC. end The decompression method is available to reproduce the original data whenever it needed. V EXPERIMENTAL RESULTS In this section, experimental benchmarking results for using the Modelsim and Xilinx. Table 1: Comparison of existing and proposed S.NO 1 2 PARAMETERS Power consumption DCC ALGORITHM HYRID RLC BM CODES 390 P(m/w) 355 P(m/w) Area(no of slices occupied) Delay 14.19ns ns Fig 5.Flow chart for compression 4759

8 800 area area excisting proposed power consumption power consumption Fig 8. represents area used by hybrid rlc-bm algorithm 320 excisting proposed delay excisting proposed delay Fig 6 comparison of existing and proposed parameters Fig 9.represents the power consumed in hybrid rlc-bm algorithm Hybrid RLC-BM algorithm: Fig 7 delay of hybrid rlc- bm algorithm VI.CONCLUSION Hybrid RLC-BM algorithm is Compared to the DCC algorithm the parameters like power consumption,delay or speed and area are optimally reduced. The proposed method improves CR by over 40% with a slight hardware overhead. This algorithm is implemented in FPGA[17-18]. 4760

9 REFERENCES [1].A. Wolfe and A. Chanin, ''Executing compressed programs on an embedded RISC architecture," in Proc.25th Annu Int Symp.Microarchitecture,Dec.1992,pp [2]C. Lefurgy. P. Bird, I-C. Chen, and T, Mudge, "Improving code density using compression techniques," in Proc 30th Annu.ACM/IEEE Int. Symp. MICRO, Dec.1997,pp [3] S-W. Seong and P.Mishra,"A bitmask -based code compression technique for embedded system,"in Proc. IEEE/ACMICCAD,Nov.2006, pp [4] S-W. Seong and P. Mishra, "An efficient code compression technique using application -aware bitmask and dictionary selection methods," in Proc. DATE,2007,pp.1-6. [5] H.Lekatsas and W. Wolf,"SAMC:A code compression algorithm for embedded processors, "IEEE Trans.computer-aided design integer-circuits Syst.,vol.18,no.12,pp ,Dec [6]S.Y. Larin and T.M.Conte, "complier-driven cached code compression schemes for embedded ILP processors,"in Proc.32nd Annu. Int.Symp.Microarchitecture,nov.1999, pp [7]Y. Xie, W. Wolf, and H.Lekatsas," Code compression for VLIW processor using variable -to-fixed coding, "in Proc. 15th ISSS, 2002, PP [8]C.H. Lin,Y. Xie, and W. Wolf," Code compression for VLIW processor using a self-generating table, "IEEE Trans. Very Large Scale Integer.(VLSI)Syst.,vol. 15,no.10.pp , oct [9]C.-W.Lin, C. H. Lin and W.J. Wang, "A power aware codecompression design for RISC/VLIW architecture, "J. Zhejiang Univ.- sci.comput. Electron.),vol.12,no.8,pp ,aug [10] T. Bonnt and J. Henkel, "FBT: Filled buffer technique to reduce code size for VLIW processors," in Proc. IEEE/ACM Int. Conf.CAD(1CCAD),Nov,2008,pp [11]X. Qin and P.MISHRA, "Efficient placement of compressed code for parallel decompression, "in Proc.22nd Int, Conf>VLSI Design,Jan,2009,pp.335_340. [12] T. Bonnt and J. Henkel, ''LICT: Left-uncompressed instructions compression technique to improve the decoding performance of VLIW processors, "in Proc 46thACM/IEEE Design Autom.Conf.,Jul,2009,pp903_906. [13]B. Gorjiara, M. Reshadi, and D. Gajski, "Merged dictionary code compression for FPGA implementation of custom microcode Pes," ACM Trans Reconfigurable Technol.Syst,vol,1,no.2,jun 2008,Art.ID

10 [14] M. Ros and P. Sutton "A hamming distance based VLIW/EPIC code compression technique, "in Proc Int,Conf,CASES,2004,pp.132_139. [15] X. Qin, C. Murthy, and P.Mishra."Decoding-aware compression p f FPGA bit streams, "IEEE Trans, Very Large Scale Integr,(VLSI)Syst.,vol.19,no,3,pp, ,Mar,2011. [16] Jubairahmed, L., Satheeskumaran, S., Venkatesan, C.: Contourlet transform based adaptive nonlinear diffusion filtering for speckle noise removal in ultrasound images. Clust. Comput (2017). [17] Satheeskumaran, S., Sabrigiriraj, M.: VLSI implementation of a new LMS based algorithm for noise removal in ECG signal. Int. J. Electron. 103, (2016). [18] Venkatesan C, Karthigaikumar P, Varatharajan R (2018) FPGA implementation of modified error normalized LMS adaptive filter for ECG noise removal. Cluster Computing,

11 4763

12 4764

MIXED BIT SAVING DICTIONARY SELECTION ALGORITHM FOR CODE COMPRESSION RATIO TO FIELD PROGRAMMABLE GATE ARRAY

MIXED BIT SAVING DICTIONARY SELECTION ALGORITHM FOR CODE COMPRESSION RATIO TO FIELD PROGRAMMABLE GATE ARRAY International Journal on Recent Researches in Science, Engineering & Technology (IJRRSET) A Journal Established in early 2000 as National journal and upgraded to International journal in 2013 and is in

More information

Design and Implementation of Partial Reconfigurable Fir Filter Using Distributed Arithmetic Architecture

Design and Implementation of Partial Reconfigurable Fir Filter Using Distributed Arithmetic Architecture Design and Implementation of Partial Reconfigurable Fir Filter Using Distributed Arithmetic Architecture Vinaykumar Bagali 1, Deepika S Karishankari 2 1 Asst Prof, Electrical and Electronics Dept, BLDEA

More information

The main design objective in adder design are area, speed and power. Carry Select Adder (CSLA) is one of the fastest

The main design objective in adder design are area, speed and power. Carry Select Adder (CSLA) is one of the fastest ISSN: 0975-766X CODEN: IJPTFI Available Online through Research Article www.ijptonline.com IMPLEMENTATION OF FAST SQUARE ROOT SELECT WITH LOW POWER CONSUMPTION V.Elanangai*, Dr. K.Vasanth Department of

More information

A Combined Compatible Block Coding and Run Length Coding Techniques for Test Data Compression

A Combined Compatible Block Coding and Run Length Coding Techniques for Test Data Compression World Applied Sciences Journal 32 (11): 2229-2233, 2014 ISSN 1818-4952 IDOSI Publications, 2014 DOI: 10.5829/idosi.wasj.2014.32.11.1325 A Combined Compatible Block Coding and Run Length Coding Techniques

More information

REDUCING DYNAMIC POWER BY PULSED LATCH AND MULTIPLE PULSE GENERATOR IN CLOCKTREE

REDUCING DYNAMIC POWER BY PULSED LATCH AND MULTIPLE PULSE GENERATOR IN CLOCKTREE Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 3, Issue. 5, May 2014, pg.210

More information

LUT Optimization for Memory Based Computation using Modified OMS Technique

LUT Optimization for Memory Based Computation using Modified OMS Technique LUT Optimization for Memory Based Computation using Modified OMS Technique Indrajit Shankar Acharya & Ruhan Bevi Dept. of ECE, SRM University, Chennai, India E-mail : indrajitac123@gmail.com, ruhanmady@yahoo.co.in

More information

International Journal of Engineering Trends and Technology (IJETT) - Volume4 Issue8- August 2013

International Journal of Engineering Trends and Technology (IJETT) - Volume4 Issue8- August 2013 International Journal of Engineering Trends and Technology (IJETT) - Volume4 Issue8- August 2013 Design and Implementation of an Enhanced LUT System in Security Based Computation dama.dhanalakshmi 1, K.Annapurna

More information

International Journal of Emerging Technologies in Computational and Applied Sciences (IJETCAS)

International Journal of Emerging Technologies in Computational and Applied Sciences (IJETCAS) International Association of Scientific Innovation and Research (IASIR) (An Association Unifying the Sciences, Engineering, and Applied Research) International Journal of Emerging Technologies in Computational

More information

Implementation of Memory Based Multiplication Using Micro wind Software

Implementation of Memory Based Multiplication Using Micro wind Software Implementation of Memory Based Multiplication Using Micro wind Software U.Palani 1, M.Sujith 2,P.Pugazhendiran 3 1 IFET College of Engineering, Department of Information Technology, Villupuram 2,3 IFET

More information

An optimized implementation of 128 bit carry select adder using binary to excess-one converter for delay reduction and area efficiency

An optimized implementation of 128 bit carry select adder using binary to excess-one converter for delay reduction and area efficiency Journal From the SelectedWorks of Journal December, 2014 An optimized implementation of 128 bit carry select adder using binary to excess-one converter for delay reduction and area efficiency P. Manga

More information

128 BIT CARRY SELECT ADDER USING BINARY TO EXCESS-ONE CONVERTER FOR DELAY REDUCTION AND AREA EFFICIENCY

128 BIT CARRY SELECT ADDER USING BINARY TO EXCESS-ONE CONVERTER FOR DELAY REDUCTION AND AREA EFFICIENCY 128 BIT CARRY SELECT ADDER USING BINARY TO EXCESS-ONE CONVERTER FOR DELAY REDUCTION AND AREA EFFICIENCY 1 Mrs.K.K. Varalaxmi, M.Tech, Assoc. Professor, ECE Department, 1varuhello@Gmail.Com 2 Shaik Shamshad

More information

An FPGA Implementation of Shift Register Using Pulsed Latches

An FPGA Implementation of Shift Register Using Pulsed Latches An FPGA Implementation of Shift Register Using Pulsed Latches Shiny Panimalar.S, T.Nisha Priscilla, Associate Professor, Department of ECE, MAMCET, Tiruchirappalli, India PG Scholar, Department of ECE,

More information

Research Article Design and Implementation of High Speed and Low Power Modified Square Root Carry Select Adder (MSQRTCSLA)

Research Article Design and Implementation of High Speed and Low Power Modified Square Root Carry Select Adder (MSQRTCSLA) Research Journal of Applied Sciences, Engineering and Technology 12(1): 43-51, 2016 DOI:10.19026/rjaset.12.2302 ISSN: 2040-7459; e-issn: 2040-7467 2016 Maxwell Scientific Publication Corp. Submitted: August

More information

Optimization of memory based multiplication for LUT

Optimization of memory based multiplication for LUT Optimization of memory based multiplication for LUT V. Hari Krishna *, N.C Pant ** * Guru Nanak Institute of Technology, E.C.E Dept., Hyderabad, India ** Guru Nanak Institute of Technology, Prof & Head,

More information

ALONG with the progressive device scaling, semiconductor

ALONG with the progressive device scaling, semiconductor IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 57, NO. 4, APRIL 2010 285 LUT Optimization for Memory-Based Computation Pramod Kumar Meher, Senior Member, IEEE Abstract Recently, we

More information

An Efficient Reduction of Area in Multistandard Transform Core

An Efficient Reduction of Area in Multistandard Transform Core An Efficient Reduction of Area in Multistandard Transform Core A. Shanmuga Priya 1, Dr. T. K. Shanthi 2 1 PG scholar, Applied Electronics, Department of ECE, 2 Assosiate Professor, Department of ECE Thanthai

More information

Design of Memory Based Implementation Using LUT Multiplier

Design of Memory Based Implementation Using LUT Multiplier Design of Memory Based Implementation Using LUT Multiplier Charan Kumar.k 1, S. Vikrama Narasimha Reddy 2, Neelima Koppala 3 1,2 M.Tech(VLSI) Student, 3 Assistant Professor, ECE Department, Sree Vidyanikethan

More information

HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP

HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP 1 R.Ramya, 2 C.Hamsaveni 1,2 PG Scholar, Department of ECE, Hindusthan Institute Of Technology,

More information

Hardware Implementation of Block GC3 Lossless Compression Algorithm for Direct-Write Lithography Systems

Hardware Implementation of Block GC3 Lossless Compression Algorithm for Direct-Write Lithography Systems Hardware Implementation of Block GC3 Lossless Compression Algorithm for Direct-Write Lithography Systems Hsin-I Liu, Brian Richards, Avideh Zakhor, and Borivoje Nikolic Dept. of Electrical Engineering

More information

An Efficient High Speed Wallace Tree Multiplier

An Efficient High Speed Wallace Tree Multiplier Chepuri satish,panem charan Arur,G.Kishore Kumar and G.Mamatha 38 An Efficient High Speed Wallace Tree Multiplier Chepuri satish, Panem charan Arur, G.Kishore Kumar and G.Mamatha Abstract: The Wallace

More information

Available online at ScienceDirect. Procedia Computer Science 46 (2015 ) Aida S Tharakan a *, Binu K Mathew b

Available online at  ScienceDirect. Procedia Computer Science 46 (2015 ) Aida S Tharakan a *, Binu K Mathew b Available online at www.sciencedirect.com ScienceDirect Procedia Computer Science 46 (2015 ) 1409 1416 International Conference on Information and Communication Technologies (ICICT 2014) Design and Implementation

More information

Optimization of Multi-Channel BCH Error Decoding for Common Cases. Russell Dill Master's Thesis Defense April 20, 2015

Optimization of Multi-Channel BCH Error Decoding for Common Cases. Russell Dill Master's Thesis Defense April 20, 2015 Optimization of Multi-Channel BCH Error Decoding for Common Cases Russell Dill Master's Thesis Defense April 20, 2015 Bose-Chaudhuri-Hocquenghem (BCH) BCH is an Error Correcting Code (ECC) and is used

More information

Implementation of Low Power and Area Efficient Carry Select Adder

Implementation of Low Power and Area Efficient Carry Select Adder International Journal of Engineering Science Invention ISSN (Online): 2319 6734, ISSN (Print): 2319 6726 Volume 3 Issue 8 ǁ August 2014 ǁ PP.36-48 Implementation of Low Power and Area Efficient Carry Select

More information

Layout Decompression Chip for Maskless Lithography

Layout Decompression Chip for Maskless Lithography Layout Decompression Chip for Maskless Lithography Borivoje Nikolić, Ben Wild, Vito Dai, Yashesh Shroff, Benjamin Warlick, Avideh Zakhor, William G. Oldham Department of Electrical Engineering and Computer

More information

Color Image Compression Using Colorization Based On Coding Technique

Color Image Compression Using Colorization Based On Coding Technique Color Image Compression Using Colorization Based On Coding Technique D.P.Kawade 1, Prof. S.N.Rawat 2 1,2 Department of Electronics and Telecommunication, Bhivarabai Sawant Institute of Technology and Research

More information

A Fast Constant Coefficient Multiplier for the XC6200

A Fast Constant Coefficient Multiplier for the XC6200 A Fast Constant Coefficient Multiplier for the XC6200 Tom Kean, Bernie New and Bob Slous Xilinx Inc. Abstract. We discuss the design of a high performance constant coefficient multiplier on the Xilinx

More information

Design of Fault Coverage Test Pattern Generator Using LFSR

Design of Fault Coverage Test Pattern Generator Using LFSR Design of Fault Coverage Test Pattern Generator Using LFSR B.Saritha M.Tech Student, Department of ECE, Dhruva Institue of Engineering & Technology. Abstract: A new fault coverage test pattern generator

More information

Optimizing area of local routing network by reconfiguring look up tables (LUTs)

Optimizing area of local routing network by reconfiguring look up tables (LUTs) Vol.2, Issue.3, May-June 2012 pp-816-823 ISSN: 2249-6645 Optimizing area of local routing network by reconfiguring look up tables (LUTs) Sathyabhama.B 1 and S.Sudha 2 1 M.E-VLSI Design 2 Dept of ECE Easwari

More information

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits N.Brindha, A.Kaleel Rahuman ABSTRACT: Auto scan, a design for testability (DFT) technique for synchronous sequential circuits.

More information

Reduction of Clock Power in Sequential Circuits Using Multi-Bit Flip-Flops

Reduction of Clock Power in Sequential Circuits Using Multi-Bit Flip-Flops Reduction of Clock Power in Sequential Circuits Using Multi-Bit Flip-Flops A.Abinaya *1 and V.Priya #2 * M.E VLSI Design, ECE Dept, M.Kumarasamy College of Engineering, Karur, Tamilnadu, India # M.E VLSI

More information

EMBEDDED ZEROTREE WAVELET CODING WITH JOINT HUFFMAN AND ARITHMETIC CODING

EMBEDDED ZEROTREE WAVELET CODING WITH JOINT HUFFMAN AND ARITHMETIC CODING EMBEDDED ZEROTREE WAVELET CODING WITH JOINT HUFFMAN AND ARITHMETIC CODING Harmandeep Singh Nijjar 1, Charanjit Singh 2 1 MTech, Department of ECE, Punjabi University Patiala 2 Assistant Professor, Department

More information

LUT Optimization for Distributed Arithmetic-Based Block Least Mean Square Adaptive Filter

LUT Optimization for Distributed Arithmetic-Based Block Least Mean Square Adaptive Filter LUT Optimization for Distributed Arithmetic-Based Block Least Mean Square Adaptive Filter Abstract: In this paper, we analyze the contents of lookup tables (LUTs) of distributed arithmetic (DA)- based

More information

Memory efficient Distributed architecture LUT Design using Unified Architecture

Memory efficient Distributed architecture LUT Design using Unified Architecture Research Article Memory efficient Distributed architecture LUT Design using Unified Architecture Authors: 1 S.M.L.V.K. Durga, 2 N.S. Govind. Address for Correspondence: 1 M.Tech II Year, ECE Dept., ASR

More information

Lossless Compression Algorithms for Direct- Write Lithography Systems

Lossless Compression Algorithms for Direct- Write Lithography Systems Lossless Compression Algorithms for Direct- Write Lithography Systems Hsin-I Liu Video and Image Processing Lab Department of Electrical Engineering and Computer Science University of California at Berkeley

More information

Hardware Implementation of Block GC3 Lossless Compression Algorithm for Direct-Write Lithography Systems

Hardware Implementation of Block GC3 Lossless Compression Algorithm for Direct-Write Lithography Systems Hardware Implementation of Block GC3 Lossless Compression Algorithm for Direct-Write Lithography Systems Hsin-I Liu, Brian Richards, Avideh Zakhor, and Borivoje Nikolic Dept. of Electrical Engineering

More information

IMPLEMENTATION OF X-FACTOR CIRCUITRY IN DECOMPRESSOR ARCHITECTURE

IMPLEMENTATION OF X-FACTOR CIRCUITRY IN DECOMPRESSOR ARCHITECTURE IMPLEMENTATION OF X-FACTOR CIRCUITRY IN DECOMPRESSOR ARCHITECTURE SATHISHKUMAR.K #1, SARAVANAN.S #2, VIJAYSAI. R #3 School of Computing, M.Tech VLSI design, SASTRA University Thanjavur, Tamil Nadu, 613401,

More information

A Parallel Area Delay Efficient Interpolation Filter Architecture

A Parallel Area Delay Efficient Interpolation Filter Architecture A Parallel Area Delay Efficient Interpolation Filter Architecture [1] Anusha Ajayan, [2] Rafeekha M J [1] PG Student [VLSI & ES] [2] Assistant professor, Department of ECE, TKM Institute of Technology,

More information

An MFA Binary Counter for Low Power Application

An MFA Binary Counter for Low Power Application Volume 118 No. 20 2018, 4947-4954 ISSN: 1314-3395 (on-line version) url: http://www.ijpam.eu ijpam.eu An MFA Binary Counter for Low Power Application Sneha P Department of ECE PSNA CET, Dindigul, India

More information

Performance Evolution of 16 Bit Processor in FPGA using State Encoding Techniques

Performance Evolution of 16 Bit Processor in FPGA using State Encoding Techniques Performance Evolution of 16 Bit Processor in FPGA using State Encoding Techniques Madhavi Anupoju 1, M. Sunil Prakash 2 1 M.Tech (VLSI) Student, Department of Electronics & Communication Engineering, MVGR

More information

A Symmetric Differential Clock Generator for Bit-Serial Hardware

A Symmetric Differential Clock Generator for Bit-Serial Hardware A Symmetric Differential Clock Generator for Bit-Serial Hardware Mitchell J. Myjak and José G. Delgado-Frias School of Electrical Engineering and Computer Science Washington State University Pullman, WA,

More information

Implementation and Analysis of Area Efficient Architectures for CSLA by using CLA

Implementation and Analysis of Area Efficient Architectures for CSLA by using CLA Volume-6, Issue-3, May-June 2016 International Journal of Engineering and Management Research Page Number: 753-757 Implementation and Analysis of Area Efficient Architectures for CSLA by using CLA Anshu

More information

Figure.1 Clock signal II. SYSTEM ANALYSIS

Figure.1 Clock signal II. SYSTEM ANALYSIS International Journal of Advances in Engineering, 2015, 1(4), 518-522 ISSN: 2394-9260 (printed version); ISSN: 2394-9279 (online version); url:http://www.ijae.in RESEARCH ARTICLE Multi bit Flip-Flop Grouping

More information

Power Optimization by Using Multi-Bit Flip-Flops

Power Optimization by Using Multi-Bit Flip-Flops Volume-4, Issue-5, October-2014, ISSN No.: 2250-0758 International Journal of Engineering and Management Research Page Number: 194-198 Power Optimization by Using Multi-Bit Flip-Flops D. Hazinayab 1, K.

More information

A Novel Architecture of LUT Design Optimization for DSP Applications

A Novel Architecture of LUT Design Optimization for DSP Applications A Novel Architecture of LUT Design Optimization for DSP Applications O. Anjaneyulu 1, Parsha Srikanth 2 & C. V. Krishna Reddy 3 1&2 KITS, Warangal, 3 NNRESGI, Hyderabad E-mail : anjaneyulu_o@yahoo.com

More information

Fault Detection And Correction Using MLD For Memory Applications

Fault Detection And Correction Using MLD For Memory Applications Fault Detection And Correction Using MLD For Memory Applications Jayasanthi Sambbandam & G. Jose ECE Dept. Easwari Engineering College, Ramapuram E-mail : shanthisindia@yahoo.com & josejeyamani@gmail.com

More information

PERFORMANCE ANALYSIS OF AN EFFICIENT PULSE-TRIGGERED FLIP FLOPS FOR ULTRA LOW POWER APPLICATIONS

PERFORMANCE ANALYSIS OF AN EFFICIENT PULSE-TRIGGERED FLIP FLOPS FOR ULTRA LOW POWER APPLICATIONS Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology ISSN 2320 088X IMPACT FACTOR: 5.258 IJCSMC,

More information

Design of Polar List Decoder using 2-Bit SC Decoding Algorithm V Priya 1 M Parimaladevi 2

Design of Polar List Decoder using 2-Bit SC Decoding Algorithm V Priya 1 M Parimaladevi 2 IJSRD - International Journal for Scientific Research & Development Vol. 3, Issue 03, 2015 ISSN (online): 2321-0613 V Priya 1 M Parimaladevi 2 1 Master of Engineering 2 Assistant Professor 1,2 Department

More information

SIC Vector Generation Using Test per Clock and Test per Scan

SIC Vector Generation Using Test per Clock and Test per Scan International Journal of Emerging Engineering Research and Technology Volume 2, Issue 8, November 2014, PP 84-89 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) SIC Vector Generation Using Test per Clock

More information

University College of Engineering, JNTUK, Kakinada, India Member of Technical Staff, Seerakademi, Hyderabad

University College of Engineering, JNTUK, Kakinada, India Member of Technical Staff, Seerakademi, Hyderabad Power Analysis of Sequential Circuits Using Multi- Bit Flip Flops Yarramsetti Ramya Lakshmi 1, Dr. I. Santi Prabha 2, R.Niranjan 3 1 M.Tech, 2 Professor, Dept. of E.C.E. University College of Engineering,

More information

OMS Based LUT Optimization

OMS Based LUT Optimization International Journal of Advanced Education and Research ISSN: 2455-5746, Impact Factor: RJIF 5.34 www.newresearchjournal.com/education Volume 1; Issue 5; May 2016; Page No. 11-15 OMS Based LUT Optimization

More information

FPGA Based Implementation of Convolutional Encoder- Viterbi Decoder Using Multiple Booting Technique

FPGA Based Implementation of Convolutional Encoder- Viterbi Decoder Using Multiple Booting Technique FPGA Based Implementation of Convolutional Encoder- Viterbi Decoder Using Multiple Booting Technique Dr. Dhafir A. Alneema (1) Yahya Taher Qassim (2) Lecturer Assistant Lecturer Computer Engineering Dept.

More information

Research Article VLSI Architecture Using a Modified SQRT Carry Select Adder in Image Compression

Research Article VLSI Architecture Using a Modified SQRT Carry Select Adder in Image Compression Research Journal of Applied Sciences, Engineering and Technology 11(1): 14-18, 2015 DOI: 10.19026/rjaset.11.1670 ISSN: 2040-7459; e-issn: 2040-7467 2015 Maxwell Scientific Publication Corp. Submitted:

More information

OF AN ADVANCED LUT METHODOLOGY BASED FIR FILTER DESIGN PROCESS

OF AN ADVANCED LUT METHODOLOGY BASED FIR FILTER DESIGN PROCESS IMPLEMENTATION OF AN ADVANCED LUT METHODOLOGY BASED FIR FILTER DESIGN PROCESS 1 G. Sowmya Bala 2 A. Rama Krishna 1 PG student, Dept. of ECM. K.L.University, Vaddeswaram, A.P, India, 2 Assistant Professor,

More information

LUT Design Using OMS Technique for Memory Based Realization of FIR Filter

LUT Design Using OMS Technique for Memory Based Realization of FIR Filter International Journal of Emerging Engineering Research and Technology Volume. 2, Issue 6, September 2014, PP 72-80 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) LUT Design Using OMS Technique for Memory

More information

Research Article Low Power 256-bit Modified Carry Select Adder

Research Article Low Power 256-bit Modified Carry Select Adder Research Journal of Applied Sciences, Engineering and Technology 8(10): 1212-1216, 2014 DOI:10.19026/rjaset.8.1086 ISSN: 2040-7459; e-issn: 2040-7467 2014 Maxwell Scientific Publication Corp. Submitted:

More information

ISSN:

ISSN: 427 AN EFFICIENT 64-BIT CARRY SELECT ADDER WITH REDUCED AREA APPLICATION CH PALLAVI 1, VSWATHI 2 1 II MTech, Chadalawada Ramanamma Engg College, Tirupati 2 Assistant Professor, DeptofECE, CREC, Tirupati

More information

EFFICIENT DESIGN OF SHIFT REGISTER FOR AREA AND POWER REDUCTION USING PULSED LATCH

EFFICIENT DESIGN OF SHIFT REGISTER FOR AREA AND POWER REDUCTION USING PULSED LATCH EFFICIENT DESIGN OF SHIFT REGISTER FOR AREA AND POWER REDUCTION USING PULSED LATCH 1 Kalaivani.S, 2 Sathyabama.R 1 PG Scholar, 2 Professor/HOD Department of ECE, Government College of Technology Coimbatore,

More information

LUT OPTIMIZATION USING COMBINED APC-OMS TECHNIQUE

LUT OPTIMIZATION USING COMBINED APC-OMS TECHNIQUE LUT OPTIMIZATION USING COMBINED APC-OMS TECHNIQUE S.Basi Reddy* 1, K.Sreenivasa Rao 2 1 M.Tech Student, VLSI System Design, Annamacharya Institute of Technology & Sciences (Autonomous), Rajampet (A.P),

More information

COPY RIGHT. To Secure Your Paper As Per UGC Guidelines We Are Providing A Electronic Bar Code

COPY RIGHT. To Secure Your Paper As Per UGC Guidelines We Are Providing A Electronic Bar Code COPY RIGHT 2018IJIEMR.Personal use of this material is permitted. Permission from IJIEMR must be obtained for all other uses, in any current or future media, including reprinting/republishing this material

More information

Techniques for Yield Enhancement of VLSI Adders 1

Techniques for Yield Enhancement of VLSI Adders 1 Techniques for Yield Enhancement of VLSI Adders 1 Zhan Chen and Israel Koren Department of Electrical and Computer Engineering University of Massachusetts, Amherst, MA 01003, USA Abstract For VLSI application-specific

More information

Design And Implimentation Of Modified Sqrt Carry Select Adder On FPGA

Design And Implimentation Of Modified Sqrt Carry Select Adder On FPGA Design And Implimentation Of Modified Sqrt Carry Select Adder On FPGA Ch. Pavan kumar #1, V.Narayana Reddy, *2, R.Sravanthi *3 #Dept. of ECE, PBR VIT, Kavali, A.P, India #2 Associate.Proffesor, Department

More information

IC Layout Design of Decoders Using DSCH and Microwind Shaik Fazia Kausar MTech, Dr.K.V.Subba Reddy Institute of Technology.

IC Layout Design of Decoders Using DSCH and Microwind Shaik Fazia Kausar MTech, Dr.K.V.Subba Reddy Institute of Technology. IC Layout Design of Decoders Using DSCH and Microwind Shaik Fazia Kausar MTech, Dr.K.V.Subba Reddy Institute of Technology. T.Vijay Kumar, M.Tech Associate Professor, Dr.K.V.Subba Reddy Institute of Technology.

More information

Operating Bio-Implantable Devices in Ultra-Low Power Error Correction Circuits: using optimized ACS Viterbi decoder

Operating Bio-Implantable Devices in Ultra-Low Power Error Correction Circuits: using optimized ACS Viterbi decoder Operating Bio-Implantable Devices in Ultra-Low Power Error Correction Circuits: using optimized ACS Viterbi decoder Roshini R, Udhaya Kumar C, Muthumani D Abstract Although many different low-power Error

More information

Implementation of BIST Test Generation Scheme based on Single and Programmable Twisted Ring Counters

Implementation of BIST Test Generation Scheme based on Single and Programmable Twisted Ring Counters IOSR Journal of Mechanical and Civil Engineering (IOSR-JMCE) e-issn: 2278-1684, p-issn: 2320-334X Implementation of BIST Test Generation Scheme based on Single and Programmable Twisted Ring Counters N.Dilip

More information

Efficient Implementation of Multi Stage SQRT Carry Select Adder

Efficient Implementation of Multi Stage SQRT Carry Select Adder International Journal of Research Studies in Science, Engineering and Technology Volume 2, Issue 8, August 2015, PP 31-36 ISSN 2349-4751 (Print) & ISSN 2349-476X (Online) Efficient Implementation of Multi

More information

A High- Speed LFSR Design by the Application of Sample Period Reduction Technique for BCH Encoder

A High- Speed LFSR Design by the Application of Sample Period Reduction Technique for BCH Encoder IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) ISSN: 239 42, ISBN No. : 239 497 Volume, Issue 5 (Jan. - Feb 23), PP 7-24 A High- Speed LFSR Design by the Application of Sample Period Reduction

More information

An Efficient 64-Bit Carry Select Adder With Less Delay And Reduced Area Application

An Efficient 64-Bit Carry Select Adder With Less Delay And Reduced Area Application An Efficient 64-Bit Carry Select Adder With Less Delay And Reduced Area Application K Allipeera, M.Tech Student & S Ahmed Basha, Assitant Professor Department of Electronics & Communication Engineering

More information

Australian Journal of Basic and Applied Sciences. Design of SRAM using Multibit Flipflop with Clock Gating Technique

Australian Journal of Basic and Applied Sciences. Design of SRAM using Multibit Flipflop with Clock Gating Technique ISSN:1991-8178 Australian Journal of Basic and Applied Sciences Journal home page: www.ajbasweb.com Design of SRAM using Multibit Flipflop with Clock Gating Technique 1 Divya R. and 2 Hemalatha K.L. 1

More information

Design and Analysis of Modified Fast Compressors for MAC Unit

Design and Analysis of Modified Fast Compressors for MAC Unit Design and Analysis of Modified Fast Compressors for MAC Unit Anusree T U 1, Bonifus P L 2 1 PG Student & Dept. of ECE & Rajagiri School of Engineering & Technology 2 Assistant Professor & Dept. of ECE

More information

High Performance Carry Chains for FPGAs

High Performance Carry Chains for FPGAs High Performance Carry Chains for FPGAs Matthew M. Hosler Department of Electrical and Computer Engineering Northwestern University Abstract Carry chains are an important consideration for most computations,

More information

Investigation of Look-Up Table Based FPGAs Using Various IDCT Architectures

Investigation of Look-Up Table Based FPGAs Using Various IDCT Architectures Investigation of Look-Up Table Based FPGAs Using Various IDCT Architectures Jörn Gause Abstract This paper presents an investigation of Look-Up Table (LUT) based Field Programmable Gate Arrays (FPGAs)

More information

Reconfigurable FPGA Implementation of FIR Filter using Modified DA Method

Reconfigurable FPGA Implementation of FIR Filter using Modified DA Method Reconfigurable FPGA Implementation of FIR Filter using Modified DA Method M. Backia Lakshmi 1, D. Sellathambi 2 1 PG Student, Department of Electronics and Communication Engineering, Parisutham Institute

More information

Transactions Briefs. Interframe Bus Encoding Technique and Architecture for MPEG-4 AVC/H.264 Video Compression

Transactions Briefs. Interframe Bus Encoding Technique and Architecture for MPEG-4 AVC/H.264 Video Compression IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 18, NO. 5, MAY 2010 831 Transactions Briefs Interframe Bus Encoding Technique and Architecture for MPEG-4 AVC/H.264 Video Compression

More information

Implementation of High Speed Adder using DLATCH

Implementation of High Speed Adder using DLATCH International Journal of Emerging Engineering Research and Technology Volume 3, Issue 12, December 2015, PP 162-172 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) Implementation of High Speed Adder using

More information

Constant Bit Rate for Video Streaming Over Packet Switching Networks

Constant Bit Rate for Video Streaming Over Packet Switching Networks International OPEN ACCESS Journal Of Modern Engineering Research (IJMER) Constant Bit Rate for Video Streaming Over Packet Switching Networks Mr. S. P.V Subba rao 1, Y. Renuka Devi 2 Associate professor

More information

Synchronization Overhead in SOC Compressed Test

Synchronization Overhead in SOC Compressed Test TVLSI-289-23.R Synchronization Overhead in Compressed Test Paul Theo Gonciari, Member, IEEE, Bashir Al-Hashimi, Senior Member, IEEE, and Nicola Nicolici, Member, IEEE, Abstract Test data compression is

More information

Modifying the Scan Chains in Sequential Circuit to Reduce Leakage Current

Modifying the Scan Chains in Sequential Circuit to Reduce Leakage Current IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 3, Issue 1 (Sep. Oct. 2013), PP 01-09 e-issn: 2319 4200, p-issn No. : 2319 4197 Modifying the Scan Chains in Sequential Circuit to Reduce Leakage

More information

The Design of Efficient Viterbi Decoder and Realization by FPGA

The Design of Efficient Viterbi Decoder and Realization by FPGA Modern Applied Science; Vol. 6, No. 11; 212 ISSN 1913-1844 E-ISSN 1913-1852 Published by Canadian Center of Science and Education The Design of Efficient Viterbi Decoder and Realization by FPGA Liu Yanyan

More information

FPGA Implementation of DA Algritm for Fir Filter

FPGA Implementation of DA Algritm for Fir Filter International Journal of Computational Engineering Research Vol, 03 Issue, 8 FPGA Implementation of DA Algritm for Fir Filter 1, Solmanraju Putta, 2, J Kishore, 3, P. Suresh 1, M.Tech student,assoc. Prof.,Professor

More information

Low Power Estimation on Test Compression Technique for SoC based Design

Low Power Estimation on Test Compression Technique for SoC based Design Indian Journal of Science and Technology, Vol 8(4), DOI: 0.7485/ijst/205/v8i4/6848, July 205 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Low Estimation on Test Compression Technique for SoC based

More information

FPGA Implementaion of Soft Decision Viterbi Decoder

FPGA Implementaion of Soft Decision Viterbi Decoder FPGA Implementaion of Soft Decision Viterbi Decoder Sahar F. Abdelmomen A. I. Taman Hatem M. Zakaria Mahmud F. M. Abstract This paper presents an implementation of a 3-bit soft decision Viterbi decoder.

More information

Novel Correction and Detection for Memory Applications 1 B.Pujita, 2 SK.Sahir

Novel Correction and Detection for Memory Applications 1 B.Pujita, 2 SK.Sahir Novel Correction and Detection for Memory Applications 1 B.Pujita, 2 SK.Sahir 1 M.Tech Research Scholar, Priyadarshini Institute of Technology & Science, Chintalapudi, India 2 HOD, Priyadarshini Institute

More information

Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Lecture No. # 29 Minimizing Switched Capacitance-III. (Refer

More information

Modified128 bit CSLA For Effective Area and Speed

Modified128 bit CSLA For Effective Area and Speed Modified128 bit CSLA For Effective Area and Speed Shaik Bademia Babu, Sada.Ravindar,M.Tech,VLSI, Assistant professor Nimra Inst Of Sci and tech college, jupudi, Ibrahimpatnam,Vijayawada,AP state,india

More information

Audio Compression Technology for Voice Transmission

Audio Compression Technology for Voice Transmission Audio Compression Technology for Voice Transmission 1 SUBRATA SAHA, 2 VIKRAM REDDY 1 Department of Electrical and Computer Engineering 2 Department of Computer Science University of Manitoba Winnipeg,

More information

An Lut Adaptive Filter Using DA

An Lut Adaptive Filter Using DA An Lut Adaptive Filter Using DA ISSN: 2321-9939 An Lut Adaptive Filter Using DA 1 k.krishna reddy, 2 ch k prathap kumar m 1 M.Tech Student, 2 Assistant Professor 1 CVSR College of Engineering, Department

More information

Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques

Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques Akkala Suvarna Ratna M.Tech (VLSI & ES), Department of ECE, Sri Vani School of Engineering, Vijayawada. Abstract: A new

More information

Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register

Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register International Journal for Modern Trends in Science and Technology Volume: 02, Issue No: 10, October 2016 http://www.ijmtst.com ISSN: 2455-3778 Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift

More information

FPGA IMPEMENTATION OF LOW POWER AND AREA EFFICIENT CARRY SELECT ADDER

FPGA IMPEMENTATION OF LOW POWER AND AREA EFFICIENT CARRY SELECT ADDER FPGA IMPEMENTATION OF LOW POWER AND AREA EFFICIENT CARRY SELECT ADDER A.Nithya [3],A.G.Priyanka [3],B.Ajitha [3],D.Gracia Nirmala Rani [2],S.Rajaram [1] [1]- Associate Professor, [2]- Assistant Professor,

More information

Designing an Efficient and Secured LUT Approach for Area Based Occupations

Designing an Efficient and Secured LUT Approach for Area Based Occupations Designing an Efficient and Secured LUT Approach for Area Based Occupations 1 D. Jahnavi, 2 Y. Ravikiran varma 1 M.Tech scholar, E.C.E, Sreenivasa institute of technology and management studies, Chittoor

More information

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September-2014 917 The Power Optimization of Linear Feedback Shift Register Using Fault Coverage Circuits K.YARRAYYA1, K CHITAMBARA

More information

FPGA Hardware Resource Specific Optimal Design for FIR Filters

FPGA Hardware Resource Specific Optimal Design for FIR Filters International Journal of Computer Engineering and Information Technology VOL. 8, NO. 11, November 2016, 203 207 Available online at: www.ijceit.org E-ISSN 2412-8856 (Online) FPGA Hardware Resource Specific

More information

Keywords Xilinx ISE, LUT, FIR System, SDR, Spectrum- Sensing, FPGA, Memory- optimization, A-OMS LUT.

Keywords Xilinx ISE, LUT, FIR System, SDR, Spectrum- Sensing, FPGA, Memory- optimization, A-OMS LUT. An Advanced and Area Optimized L.U.T Design using A.P.C. and O.M.S K.Sreelakshmi, A.Srinivasa Rao Department of Electronics and Communication Engineering Nimra College of Engineering and Technology Krishna

More information

Design and Implementation of Uart with Bist for Low Power Dissipation Using Lp-Tpg

Design and Implementation of Uart with Bist for Low Power Dissipation Using Lp-Tpg IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 6, Issue 3, Ver. II (May. -Jun. 2016), PP 26-31 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Design and Implementation of

More information

Design and Implementation of LUT Optimization DSP Techniques

Design and Implementation of LUT Optimization DSP Techniques Design and Implementation of LUT Optimization DSP Techniques 1 D. Srinivasa rao & 2 C. Amala 1 M.Tech Research Scholar, Priyadarshini Institute of Technology & Science, Chintalapudi 2 Associate Professor,

More information

Implementation of Area Efficient Memory-Based FIR Digital Filter Using LUT-Multiplier

Implementation of Area Efficient Memory-Based FIR Digital Filter Using LUT-Multiplier Implementation of Area Efficient Memory-Based FIR Digital Filter Using LUT-Multiplier K.Purnima, S.AdiLakshmi, M.Jyothi Department of ECE, K L University Vijayawada, INDIA Abstract Memory based structures

More information

VLSI Based Minimized Composite S-Box and Inverse Mix Column for AES Encryption and Decryption

VLSI Based Minimized Composite S-Box and Inverse Mix Column for AES Encryption and Decryption VLSI Based Minimized Composite S-Bo and Inverse Mi Column for AES Encryption and Decryption 1 J. Balamurugan, 2 Dr. E. Logashanmugam 1 Research scholar, 2 Professor and Head, 1 St. Peter s University,

More information

A High Performance VLSI Architecture with Half Pel and Quarter Pel Interpolation for A Single Frame

A High Performance VLSI Architecture with Half Pel and Quarter Pel Interpolation for A Single Frame I J C T A, 9(34) 2016, pp. 673-680 International Science Press A High Performance VLSI Architecture with Half Pel and Quarter Pel Interpolation for A Single Frame K. Priyadarshini 1 and D. Jackuline Moni

More information

Arithmetic Unit Based Reconfigurable Approximation Technique for Video Encoding

Arithmetic Unit Based Reconfigurable Approximation Technique for Video Encoding Arithmetic Unit Based Reconfigurable Approximation Technique for Video Encoding J.Jayakodi 1*, K.Sagadevan 2 1 ECE (Final year) IFET college of engineering, India. 2 Senior Assistant Professor, Department

More information

Abstract 1. INTRODUCTION. Cheekati Sirisha, IJECS Volume 05 Issue 10 Oct., 2016 Page No Page 18532

Abstract 1. INTRODUCTION. Cheekati Sirisha, IJECS Volume 05 Issue 10 Oct., 2016 Page No Page 18532 www.ijecs.in International Journal Of Engineering And Computer Science ISSN: 2319-7242 Volume 5 Issue 10 Oct. 2016, Page No. 18532-18540 Pulsed Latches Methodology to Attain Reduced Power and Area Based

More information