Energy Recovering ASIC Design

Size: px
Start display at page:

Download "Energy Recovering ASIC Design"

Transcription

1 Energy Recovering ASIC esign Conrad H. Ziesler, Joohee Kim, Marios C. Papaefthymiou Advanced Computer Architecture Laboratory epartment of Electrical Engineering and Computer Science University of Michigan, Ann Arbor, MI, USA cziesler, jooheek, Abstract issipation in the clock tree and state elements of ASIC designs is often a significant fraction of total energy consumption. We propose a methodology for recovering most of this energy by using a novel energy recovering flip-flop and a novel single-phase resonant clock generator. As our state element has near-zero energy consumption when the input data is not switching, it provides the savings of clock gating approaches without the additional complexity of implementing clock gating in the design. To complement this near-zero idle energy property of the flip-flop, our resonant clock generator includes the capability to decide, on a per-cycle basis, whether or not the resonant clock needs to be replenished on the next cycle, thus automatically reducing energy consumption when most of the state elements are idling. ASICs designed with our methodology can achieve sub dissipations on the clock network at frequencies of 2 5MHz and operating voltages of V in a.25 m process. To evaluate our methodology, we simulated a dual-mode (conventional and energy recovering) ASIC module to directly compare energy savings between the energy recovering and conventional clocking schemes. Our simulations demonstrate savings of over a factor of 4 for the energy-recovering mode versus the conventional mode for low switching activities. low-energy, high-speed flip-flops [1], [2], [3]. Third, our flipflop is very compact, containing only 14 transistors in its minimal configuration, or 18 transistors with an embedded two-input XOR gate, for example. Furthermore, our flip-flop is capable of operating with several different energy recovering power-clock waveforms. An energy recovering power-clock enables the entire clock tree to operate at sub dissipation levels when driven by a resonant clock generator. (a) V h + v n I. INTROUCTION A popular approach to low-energy, high-throughput VLSI system design is voltage-scaled static CMOS with aggressive pipelining. This approach is often combined with clock gating to reduce the dissipation of idle flip-flops and branches of the clock tree. In these systems, due to the large number of flip-flops and loading of the clock tree, the dissipation of the clock tree and state elements (flip-flops) can often be a substantial fraction of total system dissipation. We propose a novel design methodology for energy recovery utilizing our new PMOS energy recovering flip-flop (pterf) and a novel single-phase resonant clock generator. Our singlephase approach has several advantages over other energy recovering methodologies such as simple clock generation and distribution, no need for phase-balancing, skew tolerance, single inductor tuning, and low transistor count. Effectively, our energy recovering technique enables a substantial energy reduction with minimal designer effort. Our new energy recovering flip-flop has several properties making it well suited for deeply pipelined low-voltage static CMOS systems. First, our flip-flop exhibits near zero energy consumption while idle (i.e., when the data input switching activity is zero). This property eliminates the need for clock-gating logic, yielding similar savings as fine-grained clock gating at every flip-flop in the design. With constant input data, our flipflop dissipation is a remarkable 4.9fJ/cycle at 5MHz/1.5V, or 1.8fJ/cycle at 2MHz/1.V in a.25 m process. Second, the energy consumption of our flip-flop for unit switching activity is 75fJ/cycle at 2MHz, making it competitive with other (b) v c Fig. 1. Example system configuration and clock waveform for (a) energy recovery, and (b) no energy recovery. The substantial reduction in dissipation achieved by our flipflop is due to energy recovery. The basic premise of energy recovery is to recycle the charge stored in circuit capacitance using a power-clock signal in conjunction with an inductor or stepwise capacitor driver. Figure 1 contrasts a typical energy recovering and non-energy recovering synchronous system. Several energy recovering clock generator options are available for our flip-flop, such as blip [4], [5] and sinusoid [6]. More advanced energy recovering clock driving techniques, such as harmonic rail drivers are discussed in [7]. Other recent advances in the energy recovery literature are the clock-powered AC-x series microprocessors [8] and the source coupled adiabatic logic family [9]. Our single-phase resonant clock generator has several features making it well suited to drive systems of our pterf flipflops. First, the power topology of our clock generator enables the large resonant currents to bypass the main power switch, allowing the switch to be much smaller than topologies where the entire current is conducted by the switch. Second, the gate drive of the main switch uses an efficient dynamic circuit that optimizes the turn-on and turn-off rates to minimize dissipation. Third, a compact and fast control circuit enables the clock generator to decide, on a cycle by cycle basis, whether or not to replenish the resonating power-clock energy. This capability al-

2 _ X Y _ X Y Fig. 2. Schematic of PMOS energy recovering flip-flop (pterf). Fig. 3. Schematic of NMOS energy recovering flip-flop (nterf). lows the clock generator to maintain a stable amplitude of while consuming as little energy as possible. To evaluate our energy recovering design methodology, we re-implemented an existing conventional ASIC design using our energy recovering flip-flop and resonant clock generator. To enable direct comparisons and to simplify testing, we combined a conventional static CMOS flip-flop with our pterf flip-flop and a multiplexer to select between conventional and energy recovering flip-flops. A conventional buffered clock tree was used for the static CMOS flip-flops, while a wide metal distribution network driven by the resonant power-clock generator was used for the pterf flip-flops. Our simulation results of this voltage-scaled system indicate greater than 4 fold savings for low switching activity (when the state elements dominates dissipation) and a 2% savings for high switching activity (when the combinational logic dominates dissipation). The remainder of this paper is organized as follows: Section II describes the structure, operation, timing and energy characteristics of our energy recovering flip-flop. Section III describes the structure and operation of our resonant clock generator. Section IV explains our test ASIC implementation and gives our simulation results. We describe ongoing work in Section V. II. PTERF FLIP-FLOP This section describes our novel single-phase, energy recovering flip-flop. Key properties of our flip-flop include near-zero dissipation when the input data is held constant, low overall dissipation when the input is changing, low-voltage operation, compact layout, and a - delay which is inversely proportional to frequency. A. Structure The energy recovering flip-flop we describe in this paper consists of an energy recovering dynamic buffer driving a pair of cross-coupled NOR gates as the static latch element. Figure 2 shows the schematic of the PMOS version, that latches on rising pulses of the power-clock node. The power-clock node supplies both power and timing information to the circuit, in contrast to conventional clock nodes which supply only timing information. Note that correct operation is dependent on the ratioing of the pull-down NMOS and the pull-up cross coupled PMOS. at bt af bf logic function pull-down network Fig. 4. Schematic of PMOS energy recovering flip-flop with embedded AN gate (pterfand). Alternatively, we can derive the complement version using NMOS in place of PMOS devices, as seen in Figure 3. The resulting circuit latches on falling pulses of, using cross coupled NAN gates as the state element. Another interesting option is to replace the two pull down NFETs in pterf with a pull-down tree that computes some given logic function. Figure 4 shows a flip-flop with an embedded dual-rail AN gate. A low overhead reset may be obtained by adding an extra reset transistor to either or. B. Operation As shown in Figure 5, the operation of pterf begins with the data input changing at a suitable time before the rising edge of. The two inverters buffer and derive the complemented input, which is applied to the gate of the NMOS pull-down transistors. When the rising edge of arrives, the cross coupled PMOS devices sense and latch the appropriate value of onto the nodes X and Y. Since the cross coupled NOR gates form a simple set/reset latch, we have that positive pulses on either X or Y will cause the latch to either set or reset, respectively. When is not changing, either X or Y will remain low, with the other node oscillating in phase with in an energy recovering manner, that is, transferring charge to/from the signal. This charge recycling probe operation is the key to the ultra low energy consumption at zero input switching activity. Changes in that occur while is low have no effect on the output, X Y _

3 voltage, V voltage pterf operation Pclk time, ns pterf operation (internal signals) ns Fig. 5. Operational waveforms for pterf. since the transitions on X or Y are monotonically decreasing. Changes in that occur while is high have no effect on the output because of the ratioing between the PMOS and NMOS. This case is rare, however, and could only occur at low frequencies with high and a very short combinational logic path. C. Timing and Energy d_ xt xf TABLE I TIMING AN ENERGY VARIABLES Energy for or transitions Energy for or transitions Time before at which must be valid Time after at which may change Time after at which becomes valid Cycle time erage energy numbers, the active and idle energy consumption, which refer to an input switching activity of 1 and respectively. Figure 7 shows the test circuit we used to measure the timing and energy values for pterf. The inputs and outputs are buffered/loaded with typical inverters. We measure the timing values as the midpoint crossings of the indicated,, and signals. There are many different ways to account for the energy consumption of a flip-flop, considering dissipation of the input and output loads, the internal dissipation, whether or not to include complementary outputs, or assuming complementary inputs. There have been many debates as to what accounting scheme is most realistic. For simplicity, we measure the energy dissipation of the circuits within the dashed line, minus the energy required to drive the primary input. Ts 16 28% Tcycle Tq 14 Th 12 Valid Tdq (ps) 1 8 Fig. 6. Timing diagram of pterf using sinusoidal clock. 6 energy 4 2MHz,1.V 333MHz,1.2V 5MHZ,1.5V frequency,voltage Fig. 8. pterf - delay (Ts+Tq) input timing 7n:21p 7n:21p n:11p _ 21 9n:33p Fig. 7. Circuit for timing simulations. qf qt 1n:18p timing 7n:21p Figure 6 shows the timing definitions used for our timing analysis. The pterf flip-flop samples its input on the rising edge of, so we define the midpoint crossing of the rising edge of as the reference time and define the usual timing variables as indicated in Table I. In addition, we define two av- Figure 8 plots the total flip-flop delay as a function of operating frequency. By total flip-flop delay we mean the setup time plus the clock to output time. At 2MHz and 1.V, pterf requires 1,28ps, while at 5MHz and 1.5V, it requires 57ps. At 5MHz, 1.8V (not shown on the graph), pterf requires only 46ps. Notice the trend that the flip-flop delay decreases with increasing frequency. This behavior is due to the sinusoidal shape of the energy recovering waveform. At all frequencies, pterf consumes roughly a quarter of the total clock period. Increasing the voltage reduces this fraction slightly. For comparison, one fan-out-of-four using 2-input NAN gates in this technology is 21ps at 1.5V and 53ps at 1.V. So, pterf consumes roughly 2-3 fanouts of four out of the 9-1 available per cycle at these low voltages. Figure 9 shows the energy consumption of pterf as a func-

4 Energy/op (fj) idle active 85fF*^2 1.7fJ 2.6fJ 4.9fJ 2MHz,1.V 333MHz,1.2V 5MHZ,1.5V frequency,voltage Fig. 9. Active and idle energy consumption of pterf as function of frequency. Active energy consumption varies with hold times. of the logic supply, as shown in Figure 1. Synchronization occurs with an input reference square-wave clock, which is fed into 3 delay lines that generate the appropriate timing signals for the control circuit. The controller compares the peak value of with a reference voltage. For each cycle, it decides whether or not the inductor current needs replenishing. The output of the controller is a pulse which is buffered and inverted by two ratioed inverters before connecting to the gates of a PMOS pull-up and an NMOS pull-down. These two devices drive the gate terminal of the main NMOS power switch. The sizes of the transistors in the ratioed inverters are chosen so that the pullup and pull-down are never on at the same time. In addition, the main switch is turned on slowly, but turned off quickly, thus minimizing dissipation due to the PMOS pull-up capacitance. The main NMOS power switch is turned on at the time when the voltage difference between and ground is small, replenishing the current in the inductor from the C supply. tion of operating frequency for two different input data conditions, idle (never switching) and active (always switching). The idle energy consumption is near zero at all frequencies, with a dissipation of 1.7fJ at 2MHz and 4.89 fj at 5MHz. The active energy consumption was measured both at the minimum hold time (for the case of cascaded flip-flops), and at a nominal hold time (for the case of logic between flip-flops). For all frequencies, the lower point on the error-bar indicates the energy dissipation at the nominal hold time. III. POWER-CLOCK GENERATOR d1 d2 out The generator converts energy from the C supplies into AC energy using a lumped inductor and an on-chip NMOS switch. The signal is distributed to all of the flip-flops in the ASIC core. By using a single sinusoidal waveform, the clock distribution problem is drastically simplified. Furthermore, the entire capacitance of the clock distribution wires resonates with the generator inductor, thus eliminating the dissipation in a conventional clock tree. d3 d3 d3 ref d1 d2 d3 single cycle controller out reference clock delay lines reference voltage power bus 1/2 to ASIC core 1/2 Gnd Fig. 1. Block diagram of power-clock generator The generator is composed of a control circuit, the large NMOS power transistor and associated drive circuitry, and a lumped inductor connected to a C supply which is half that Fig. 11. Single cycle controller The single cycle controller is built around a two-stage clocked comparator circuit connected to a set-reset latch, as shown in Figure 11. A low-to-high transition on d3 causes the difference between and the reference voltage to be amplified by the cross coupled inverters. The result of this comparison toggles the set-reset latch. The phase difference between d1 and d2 is used to generate a pulse which is gated by the current state of the set-reset latch and fed to the output. Thus the controller efficiently implements single cycle feedback control. Figure 12 shows typical operational waveforms of the clock generator. The g signal is the gate drive of the main power switch. The signal is the power-clock signal. At 1ns, the load connected to undergoes a step increase in dissipation. As a result, the clock generator, which was operating under a 2-on, 2-off periodicity, switches behavior to full-on. In this par-

5 voltage Power-clock generator operation vdd g Fig. 12. Power-clock generator operation ticular case, the power-clock generator circuits are running at 1.V while the power-clock is being driven to 1.5V. At 1.V and 333MHz, the power-clock generator has a two cycle control latency rather than the desired single cycle. IV. ASIC ESIGN EXAMPLE To evaluate our new energy recovery ASIC design methodology, we implemented an existing ASIC design using our pterf flip-flop and resonant clock generator. The module implements a multilevel discrete wavelet transform, used as the first stage in neural signal processing chip. It consists of two pipelined multipliers, several pipelined adders, a FIFO, and some control circuits. The original design was done as part of a VLSI course project and used a standard-cell synthesis place-and-route synchronous design methodology, targeting 6MHz in a.18 m technology at 2.5 volts. For fabrication reasons, we targeted a.25 m technology available through MOSIS, choosing to aggressively voltage scale the design while trying to meet a 333MHz throughput goal. d clk Fig. 13. Schematic of conventional flip-flop used for comparisons We took the Verilog sources from the course project and reduced the bitwidth of the datapath in order to accommodate our limited HSPICE simulation facilities. We resynthesized the entire modified design for our custom.25 m standard cell library that includes our energy recovering flip-flop. Our custom library contains only a small set of gates and transistor sizes, so the synthesis results are by no means optimal. After synthesis, we manually replaced each flip-flop with a dual flip-flop multiplexer construct, that includes a conventional flip-flop and our pterf flip-flop. By changing a global select ff signal, we could switch the design between conventional and energy recovering state elements, thus affording direct energy consumption com- ns q TABLE II TEST ASIC MOULE, PRE-LAYOUT ESTIMATES gates flip-flops pre-layout 1.2V 3, ,974ps TABLE III TEST ASIC MOULE, POST-LAYOUT ESTIMATES 1.3V 1.4V 1.5V Tcritical Logic 2,33ps 2,62ps 1,941ps Tdq Energy Recovery ff 753ps 74ps 654ps Tdq Conventional ff 817ps 731ps 63ps parisons. A schematic of the conventional flip-flop we used is shown in Figure 13. Fig. 14. Layout plot of test ASIC module and power-clock generator Our final structural netlist was placed and routed using PLACE and WROUTE. We placed, Ground, and Power- Clock distribution wires in repeated stripes on the top metal layer over the entire ASIC core. WROUTE then routed each gate connection the nearest stripe. The ends of the stripes were connected by a wide distribution bus tied to several bond pads along with the clock generator. For a much larger design, the strip ends would connect to the arms of a global H-tree network. As this design was only approximately 4, gates, an H-tree was unnecessary in this case. Figure 14 is a layout plot of the combined ASIC module and power-clock generator. Table II summarizes the given test ASIC module. While the design is relatively small, its complexity is representative of much larger designs. Our test ASIC module is composed of 22 synthesizable Verilog files comprising over 7, lines of code. Table IV summarizes the post-layout timing estimates of the test ASIC module. These results are estimates derived from analysis of HSPICE simulation traces on netlists with postlayout extracted capacitances. At 1.3V, both the conventional and energy recovering flip-flop fail to meet timing for the target 3ns clock period, due to the long delay through the critical path in the logic. In other words, the voltage-scaling limit for this design would be 1.4V, as determined by the amount of pipelining in the design. However, since we added an additional mux to select between the energy recovering and standard flip-flops for testing purposes, the actual minimum voltage limit is 1.5V. Notice that the conventional flip-flop is faster than pterf at high voltages, but slower at low voltages. This trend is because the

6 TABLE IV ENERGY RECOVERING VS. CONVENTIONAL 333MHZ, 1.5V mode Average Logic Only.9pJ 55.28pJ 28.1pJ Energy Recovery 6.74pJ 68.47pJ 37.6pJ Conventional 29.72pJ 78.28pJ 54.pJ conventional flip-flop speeds up with increasing voltage, while pterf delay is dominated by the rise time of and so, only slight reductions with increasing voltage are possible. We simulated our ASIC module from a post-layout extracted netlist using HSPICE on a Sun Blade 1. The minimum voltage for correct operation was 1.5V in both the energy recovering and conventional modes. Simulations took approximately 12MB of RAM each and 6 hours worth of computing time. In each mode, we simulated the ASIC module for 2ns with two regions of switching activity. The period immediately after reset has several cycles with low switching activity ( ), before the module begins it s self-test mode. The period towards the end of the 2ns of simulated time is representative of typical high activity ( ), as the ASIC module is undergoing a pseudo-random self-test. Our simulation measurements represent the total energy drawn from the C supplies, per cycle, averaged over several cycles. Our primary findings are summarized in Table IV. We simulated the ASIC module in both conventional and energy recovering modes at a frequency of 333MHZ and a 1.5V supply. In addition we separate out the losses in the combinational logic. At low activities, the total dissipation represents primarily the losses due to the clock, as the logic is not switching. At higher activities, the total dissipation is dominated by the logic dissipation. Notice that the combined energy recovering mode with clock generator in all cases dissipates less than the conventional mode. The total energy recovery dissipation (including clock generator) is only 23% of the conventional case at low activity and is only 88% of the conventional case at typical activity. V. CONCLUSION We have presented a novel single-phase energy recovering methodology for low-voltage ASIC design. Our methodology complements voltage-scaling approaches by enabling further energy savings once the minimum voltage for a given throughput has been reached. A key benefit of our methodology for ASIC designers is the minimal designer overhead needed to implement our methodology. All steps in transforming an existing ASIC design to be energy recovering have been automated. In contrast, clock-gating requires significant designer effort to implement correctly. Our methodology uses a single-phase sinusoidal energy recovering flip-flop and a single-cycle feedback control resonant power-clock generator. These two components are specifically designed to complement each other for low energy consumption. First, the energy recovering flip-flop has near zero dissipation while the input data is constant using a sinusoidal waveform. This waveform is easily and efficiently distributed over the chip using wide top metal wires, since all of the charge stored in the wiring capacitance is recovered by the power-clock generator. The power-clock generator, in turn, has a topology that enables all of the resonant currents to bypass the main power switch, thus enabling it to drive large capacitive loads with low dissipation. In addition, the power-clock generator only enables its main power switch whenever the resonant system actually needs additional energy, thus effectively idling when the majority of the flip-flops are not switching. We applied our methodology to an existing ASIC design, using a completely automated implementation of the energy recovery feature. We compared, in simulation using post-layout extracted parasitics, a conventional implementation with our energy recovering implementation. The results of this comparison debunk widely held mis-perceptions about energy recovery (a.k.a. adiabatic) circuits. First, energy recovery need not be slow to be efficient. Our flip-flop and power-clock generator perform efficiently at speeds of 2 5MHz in a.25 m process. Second, energy recovery complements, rather than competes with voltage scaling. Our flip-flop works efficiently at voltages down to 1.V while still running at 2MHz. And finally, energy recovery need not be complex and difficult to design. Our flip-flop contains only 14 transistors, while the clock generator uses less than 1. More importantly, our technique allows for full automation of implementing energy recovery with an existing ASIC design using simple custom tools in addition to industry standard synthesis, placement, and routing tools. We are currently awaiting fabrication to validate on silicon our energy recovering methodology. VI. ACKNOWLEGMENTS This research was supported in part by the US Army Research Office under AASERT Grant No. AAG and Grant No. AA REFERENCES [1] B.S. Kong, S.S. Kim, and Y.H. Jun, Conditional-capture flip-flop for statistical power reduction, IEEE Journal of Solid-State Circuits, vol. 36, no. 8, pp , Aug. 21. [2] V. Stojanovic and V. G. Oklobdzija, Comparative analysis of master-slave latches and flip-flops for high-performance and low-power systems, IEEE Journal of Sold-State Circuits, vol. SC-34, no. 4, pp , Apr [3] J. Yuan and C. Svensson, New single-clock CMOS latches and flipflops with improved speed and power savings, IEEE Journal of Solid-State Circuits, vol. SC-32, no. 1, pp , Jan [4] W. C. Athas, L. J. Svensson, J. G. Koller, N. Tzartzanis, and Y. Chou, Low-power digital systems based on adiabatic-switching principles, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 2, no. 4, pp , ec [5]. Maksimovic, V. G. Oklobdzija, B. Nikolic, and K. W. Current, Clocked CMOS adiabatic logic with integrated single-phase power-clock supply, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 8, no. 4, pp , Aug. 2. [6] C. H. Ziesler, S. Kim, and M. C. Papaefthymiou, A power-clock generator for true single-phase adiabatic logic, in Proceedings of International Symposium on Low-Power Electronics and esign, Aug. 21, pp [7] J. S. Moon, W. C. Athas, and P. A. Beerel, Theory and practical implementation of harmonic resonant rail drivers, in Proceedings of the International Symposium of Low-Power Electronics and esign, Aug. 21, pp [8] W. Athas, N. Tzartzanis, W. Mao, L. Peterson, R. Lal, K. Chong, J.S. Moon, L. Svensson, and M. Bolotski, The design and implementation of a lowpower clock-powered microprocessor, IEEE Journal of Solid-State Circuits, vol. 35, no. 11, pp , Nov. 2. [9] S. Kim, C. H. Ziesler, and M. C. Papaefthymiou, A true single-phase adiabatic multiplier, in Proceedings of 38th esign Automation Conference, June 21, pp

Energy Recovery Clocking Scheme and Flip-Flops for Ultra Low-Energy Applications

Energy Recovery Clocking Scheme and Flip-Flops for Ultra Low-Energy Applications Energy Recovery Clocking Scheme and Flip-Flops for Ultra Low-Energy Applications Matthew Cooke, Hamid Mahmoodi-Meimand, Kaushik Roy School of Electrical and Computer Engineering, Purdue University, West

More information

DIFFERENTIAL CONDITIONAL CAPTURING FLIP-FLOP TECHNIQUE USED FOR LOW POWER CONSUMPTION IN CLOCKING SCHEME

DIFFERENTIAL CONDITIONAL CAPTURING FLIP-FLOP TECHNIQUE USED FOR LOW POWER CONSUMPTION IN CLOCKING SCHEME DIFFERENTIAL CONDITIONAL CAPTURING FLIP-FLOP TECHNIQUE USED FOR LOW POWER CONSUMPTION IN CLOCKING SCHEME Mr.N.Vetriselvan, Assistant Professor, Dhirajlal Gandhi College of Technology Mr.P.N.Palanisamy,

More information

EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP. Due İLKER KALYONCU, 10043

EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP. Due İLKER KALYONCU, 10043 EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP Due 16.05. İLKER KALYONCU, 10043 1. INTRODUCTION: In this project we are going to design a CMOS positive edge triggered master-slave

More information

Load-Sensitive Flip-Flop Characterization

Load-Sensitive Flip-Flop Characterization Appears in IEEE Workshop on VLSI, Orlando, Florida, April Load-Sensitive Flip-Flop Characterization Seongmoo Heo and Krste Asanović Massachusetts Institute of Technology Laboratory for Computer Science

More information

Research Article Ultra Low Power, High Performance Negative Edge Triggered ECRL Energy Recovery Sequential Elements with Power Clock Gating

Research Article Ultra Low Power, High Performance Negative Edge Triggered ECRL Energy Recovery Sequential Elements with Power Clock Gating Research Journal of Applied Sciences, Engineering and Technology 7(16): 3312-3319, 2014 DOI:10.19026/rjaset.7.676 ISSN: 2040-7459; e-issn: 2040-7467 2014 Maxwell Scientific Publication Corp. Submitted:

More information

Report on 4-bit Counter design Report- 1, 2. Report on D- Flipflop. Course project for ECE533

Report on 4-bit Counter design Report- 1, 2. Report on D- Flipflop. Course project for ECE533 Report on 4-bit Counter design Report- 1, 2. Report on D- Flipflop Course project for ECE533 I. Objective: REPORT-I The objective of this project is to design a 4-bit counter and implement it into a chip

More information

Design of a Low Power and Area Efficient Flip Flop With Embedded Logic Module

Design of a Low Power and Area Efficient Flip Flop With Embedded Logic Module IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 10, Issue 6, Ver. II (Nov - Dec.2015), PP 40-50 www.iosrjournals.org Design of a Low Power

More information

DESIGN AND ANALYSIS OF COMBINATIONAL CODING CIRCUITS USING ADIABATIC LOGIC

DESIGN AND ANALYSIS OF COMBINATIONAL CODING CIRCUITS USING ADIABATIC LOGIC DESIGN AND ANALYSIS OF COMBINATIONAL CODING CIRCUITS USING ADIABATIC LOGIC ARCHITA SRIVASTAVA Integrated B.tech(ECE) M.tech(VLSI) Scholar, Jayoti Vidyapeeth Women s University, Rajasthan, India, Email:

More information

Design and Simulation of a Digital CMOS Synchronous 4-bit Up-Counter with Set and Reset

Design and Simulation of a Digital CMOS Synchronous 4-bit Up-Counter with Set and Reset Design and Simulation of a Digital CMOS Synchronous 4-bit Up-Counter with Set and Reset Course Number: ECE 533 Spring 2013 University of Tennessee Knoxville Instructor: Dr. Syed Kamrul Islam Prepared by

More information

PERFORMANCE ANALYSIS OF AN EFFICIENT PULSE-TRIGGERED FLIP FLOPS FOR ULTRA LOW POWER APPLICATIONS

PERFORMANCE ANALYSIS OF AN EFFICIENT PULSE-TRIGGERED FLIP FLOPS FOR ULTRA LOW POWER APPLICATIONS Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology ISSN 2320 088X IMPACT FACTOR: 5.258 IJCSMC,

More information

A Modified Static Contention Free Single Phase Clocked Flip-flop Design for Low Power Applications

A Modified Static Contention Free Single Phase Clocked Flip-flop Design for Low Power Applications JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.8, NO.5, OCTOBER, 08 ISSN(Print) 598-657 https://doi.org/57/jsts.08.8.5.640 ISSN(Online) -4866 A Modified Static Contention Free Single Phase Clocked

More information

Electrical & Computer Engineering ECE 491. Introduction to VLSI. Report 1

Electrical & Computer Engineering ECE 491. Introduction to VLSI. Report 1 Electrical & Computer Engineering ECE 491 Introduction to VLSI Report 1 Marva` Morrow INTRODUCTION Flip-flops are synchronous bistable devices (multivibrator) that operate as memory elements. A bistable

More information

P.Akila 1. P a g e 60

P.Akila 1. P a g e 60 Designing Clock System Using Power Optimization Techniques in Flipflop P.Akila 1 Assistant Professor-I 2 Department of Electronics and Communication Engineering PSR Rengasamy college of engineering for

More information

ISSCC 2003 / SESSION 19 / PROCESSOR BUILDING BLOCKS / PAPER 19.5

ISSCC 2003 / SESSION 19 / PROCESSOR BUILDING BLOCKS / PAPER 19.5 ISSCC 2003 / SESSION 19 / PROCESSOR BUILDING BLOCKS / PAPER 19.5 19.5 A Clock Skew Absorbing Flip-Flop Nikola Nedovic 1,2, Vojin G. Oklobdzija 2, William W. Walker 1 1 Fujitsu Laboratories of America,

More information

A Power Efficient Flip Flop by using 90nm Technology

A Power Efficient Flip Flop by using 90nm Technology A Power Efficient Flip Flop by using 90nm Technology Mrs. Y. Lavanya Associate Professor, ECE Department, Ramachandra College of Engineering, Eluru, W.G (Dt.), A.P, India. Email: lavanya.rcee@gmail.com

More information

A Low-Power CMOS Flip-Flop for High Performance Processors

A Low-Power CMOS Flip-Flop for High Performance Processors A Low-Power CMOS Flip-Flop for High Performance Processors Preetisudha Meher, Kamala Kanta Mahapatra Dept. of Electronics and Telecommunication National Institute of Technology Rourkela, India Preetisudha1@gmail.com,

More information

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Science

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Science MASSACHUSETTS INSTITUTE OF TECHNOLOGY epartment of Electrical Engineering and Computer Science 6.374: Analysis and esign of igital Integrated Circuits Problem Set # 5 Fall 2003 Issued: 10/28/03 ue: 11/12/03

More information

An FPGA Implementation of Shift Register Using Pulsed Latches

An FPGA Implementation of Shift Register Using Pulsed Latches An FPGA Implementation of Shift Register Using Pulsed Latches Shiny Panimalar.S, T.Nisha Priscilla, Associate Professor, Department of ECE, MAMCET, Tiruchirappalli, India PG Scholar, Department of ECE,

More information

Combining Dual-Supply, Dual-Threshold and Transistor Sizing for Power Reduction

Combining Dual-Supply, Dual-Threshold and Transistor Sizing for Power Reduction Combining Dual-Supply, Dual-Threshold and Transistor Sizing for Reduction Stephanie Augsburger 1, Borivoje Nikolić 2 1 Intel Corporation, Enterprise Processors Division, Santa Clara, CA, USA. 2 Department

More information

High Performance Dynamic Hybrid Flip-Flop For Pipeline Stages with Methodical Implanted Logic

High Performance Dynamic Hybrid Flip-Flop For Pipeline Stages with Methodical Implanted Logic High Performance Dynamic Hybrid Flip-Flop For Pipeline Stages with Methodical Implanted Logic K.Vajida Tabasum, K.Chandra Shekhar Abstract-In this paper we introduce a new high performance dynamic hybrid

More information

VLSI Design: 3) Explain the various MOSFET Capacitances & their significance. 4) Draw a CMOS Inverter. Explain its transfer characteristics

VLSI Design: 3) Explain the various MOSFET Capacitances & their significance. 4) Draw a CMOS Inverter. Explain its transfer characteristics 1) Explain why & how a MOSFET works VLSI Design: 2) Draw Vds-Ids curve for a MOSFET. Now, show how this curve changes (a) with increasing Vgs (b) with increasing transistor width (c) considering Channel

More information

DESIGN OF DOUBLE PULSE TRIGGERED FLIP-FLOP BASED ON SIGNAL FEED THROUGH SCHEME

DESIGN OF DOUBLE PULSE TRIGGERED FLIP-FLOP BASED ON SIGNAL FEED THROUGH SCHEME Scientific Journal Impact Factor (SJIF): 1.711 e-issn: 2349-9745 p-issn: 2393-8161 International Journal of Modern Trends in Engineering and Research www.ijmter.com DESIGN OF DOUBLE PULSE TRIGGERED FLIP-FLOP

More information

Efficient Architecture for Flexible Prescaler Using Multimodulo Prescaler

Efficient Architecture for Flexible Prescaler Using Multimodulo Prescaler Efficient Architecture for Flexible Using Multimodulo G SWETHA, S YUVARAJ Abstract This paper, An Efficient Architecture for Flexible Using Multimodulo is an architecture which is designed from the proposed

More information

Fully Static and Compressed Topology Using Power Saving in Digital circuits for Reduced Transistor Flip flop

Fully Static and Compressed Topology Using Power Saving in Digital circuits for Reduced Transistor Flip flop Fully Static and Compressed Topology Using Power Saving in Digital circuits for Reduced Transistor Flip flop 1 S.Mounika & 2 P.Dhaneef Kumar 1 M.Tech, VLSIES, GVIC college, Madanapalli, mounikarani3333@gmail.com

More information

A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY

A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY Ms. Chaitali V. Matey 1, Ms. Shraddha K. Mendhe 2, Mr. Sandip A.

More information

Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky,

Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky, Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky, tomott}@berkeley.edu Abstract With the reduction of feature sizes, more sources

More information

Low-Power and Area-Efficient Shift Register Using Pulsed Latches

Low-Power and Area-Efficient Shift Register Using Pulsed Latches Low-Power and Area-Efficient Shift Register Using Pulsed Latches G.Sunitha M.Tech, TKR CET. P.Venkatlavanya, M.Tech Associate Professor, TKR CET. Abstract: This paper proposes a low-power and area-efficient

More information

High Frequency 32/33 Prescalers Using 2/3 Prescaler Technique

High Frequency 32/33 Prescalers Using 2/3 Prescaler Technique High Frequency 32/33 Prescalers Using 2/3 Prescaler Technique Don P John (School of Electrical Sciences, Karunya University, Coimbatore ABSTRACT Frequency synthesizer is one of the important element for

More information

11. Sequential Elements

11. Sequential Elements 11. Sequential Elements Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 October 11, 2017 ECE Department, University of Texas at Austin

More information

Adiabatic Flip-Flops and Sequential Circuit Design using Novel Resettable Adiabatic Buffers Maheshwari, S., Bartlett, V. and Kale, I.

Adiabatic Flip-Flops and Sequential Circuit Design using Novel Resettable Adiabatic Buffers Maheshwari, S., Bartlett, V. and Kale, I. WestminsterResearch http://www.westminster.ac.uk/westminsterresearch Adiabatic Flip-Flops and Sequential Circuit Design using Novel Resettable Adiabatic Buffers Maheshwari, S., Bartlett, V. and Kale, I.

More information

HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP

HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP 1 R.Ramya, 2 C.Hamsaveni 1,2 PG Scholar, Department of ECE, Hindusthan Institute Of Technology,

More information

Sequential Circuit Design: Part 1

Sequential Circuit Design: Part 1 Sequential ircuit esign: Part 1 esign of memory elements Static latches Pseudo-static latches ynamic latches Timing parameters Two-phase clocking locked inverters Krish hakrabarty 1 Sequential Logic FFs

More information

CS/EE 6710 Digital VLSI Design CAD Assignment #3 Due Thursday September 21 st, 5:00pm

CS/EE 6710 Digital VLSI Design CAD Assignment #3 Due Thursday September 21 st, 5:00pm CS/EE 6710 Digital VLSI Design CAD Assignment #3 Due Thursday September 21 st, 5:00pm Overview: In this assignment you will design a register cell. This cell should be a single-bit edge-triggered D-type

More information

Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Lecture No. # 29 Minimizing Switched Capacitance-III. (Refer

More information

LOW POWER DOUBLE EDGE PULSE TRIGGERED FLIP FLOP DESIGN

LOW POWER DOUBLE EDGE PULSE TRIGGERED FLIP FLOP DESIGN INTERNATIONAL JOURNAL OF RESEARCH IN COMPUTER APPLICATIONS AND ROBOTICS ISSN 2320-7345 LOW POWER DOUBLE EDGE PULSE TRIGGERED FLIP FLOP DESIGN G.Swetha 1, T.Krishna Murthy 2 1 Student, SVEC (Autonomous),

More information

Improve Performance of Low-Power Clock Branch Sharing Double-Edge Triggered Flip-Flop

Improve Performance of Low-Power Clock Branch Sharing Double-Edge Triggered Flip-Flop Sumant Kumar et al. 2016, Volume 4 Issue 1 ISSN (Online): 2348-4098 ISSN (Print): 2395-4752 International Journal of Science, Engineering and Technology An Open Access Journal Improve Performance of Low-Power

More information

ENERGY RECOVERY FLIP-FLOPS AND RESONANT CLOCKING OF SCCER FLIP-FLOP IN H-TREE CLOCK NETWORK

ENERGY RECOVERY FLIP-FLOPS AND RESONANT CLOCKING OF SCCER FLIP-FLOP IN H-TREE CLOCK NETWORK ENERGY RECOVERY FLIP-FLOPS AND RESONANT CLOCKING OF SCCER FLIP-FLOP IN H-TREE CLOCK NETWORK Vinod Kumar Joshi Department of Electronics and Communication Engineering, MIT, Manipal University, Manipal-576104,

More information

Sequential Circuit Design: Part 1

Sequential Circuit Design: Part 1 Sequential Circuit esign: Part 1 esign of memory elements Static latches Pseudo-static latches ynamic latches Timing parameters Two-phase clocking Clocked inverters James Morizio 1 Sequential Logic FFs

More information

A FOUR GAIN READOUT INTEGRATED CIRCUIT : FRIC 96_1

A FOUR GAIN READOUT INTEGRATED CIRCUIT : FRIC 96_1 A FOUR GAIN READOUT INTEGRATED CIRCUIT : FRIC 96_1 J. M. Bussat 1, G. Bohner 1, O. Rossetto 2, D. Dzahini 2, J. Lecoq 1, J. Pouxe 2, J. Colas 1, (1) L. A. P. P. Annecy-le-vieux, France (2) I. S. N. Grenoble,

More information

Design of Low Power D-Flip Flop Using True Single Phase Clock (TSPC)

Design of Low Power D-Flip Flop Using True Single Phase Clock (TSPC) Design of Low Power D-Flip Flop Using True Single Phase Clock (TSPC) Swetha Kanchimani M.Tech (VLSI Design), Mrs.Syamala Kanchimani Associate Professor, Miss.Godugu Uma Madhuri Assistant Professor, ABSTRACT:

More information

Dual Edge Adaptive Pulse Triggered Flip-Flop for a High Speed and Low Power Applications

Dual Edge Adaptive Pulse Triggered Flip-Flop for a High Speed and Low Power Applications International Journal of Scientific and Research Publications, Volume 5, Issue 10, October 2015 1 Dual Edge Adaptive Pulse Triggered Flip-Flop for a High Speed and Low Power Applications S. Harish*, Dr.

More information

EE-382M VLSI II FLIP-FLOPS

EE-382M VLSI II FLIP-FLOPS EE-382M VLSI II FLIP-FLOPS Gian Gerosa, Intel Fall 2008 EE 382M Class Notes Page # 1 / 31 OUTLINE Trends LATCH Operation FLOP Timing Diagrams & Characterization Transfer-Gate Master-Slave FLIP-FLOP Merged

More information

ECE321 Electronics I

ECE321 Electronics I ECE321 Electronics I Lecture 25: Sequential Logic: Flip-flop Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Tuesday 2:00-3:00PM or by appointment E-mail: pzarkesh.unm.edu Slide: 1 Review of Last

More information

An efficient Sense amplifier based Flip-Flop design

An efficient Sense amplifier based Flip-Flop design An efficient Sense amplifier based Flip-Flop design Rajendra Prasad and Narayan Krishan Vyas Abstract An efficient approach for sense amplifier based flip-flop design has been introduced in this paper.

More information

Design of New Dual Edge Triggered Sense Amplifier Flip-Flop with Low Area and Power Efficient

Design of New Dual Edge Triggered Sense Amplifier Flip-Flop with Low Area and Power Efficient Design of New Dual Edge Triggered Sense Amplifier Flip-Flop with Low Area and Power Efficient Ms. Sheik Shabeena 1, R.Jyothirmai 2, P.Divya 3, P.Kusuma 4, Ch.chiranjeevi 5 1 Assistant Professor, 2,3,4,5

More information

An Efficient Power Saving Latch Based Flip- Flop Design for Low Power Applications

An Efficient Power Saving Latch Based Flip- Flop Design for Low Power Applications An Efficient Power Saving Latch Based Flip- Flop Design for Low Power Applications N.KIRAN 1, K.AMARNATH 2 1 P.G Student, VRS & YRN College of Engineering & Technology, Vodarevu Road, Chirala 2 HOD & Professor,

More information

Modifying the Scan Chains in Sequential Circuit to Reduce Leakage Current

Modifying the Scan Chains in Sequential Circuit to Reduce Leakage Current IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 3, Issue 1 (Sep. Oct. 2013), PP 01-09 e-issn: 2319 4200, p-issn No. : 2319 4197 Modifying the Scan Chains in Sequential Circuit to Reduce Leakage

More information

CHAPTER 6 ASYNCHRONOUS QUASI DELAY INSENSITIVE TEMPLATES (QDI) BASED VITERBI DECODER

CHAPTER 6 ASYNCHRONOUS QUASI DELAY INSENSITIVE TEMPLATES (QDI) BASED VITERBI DECODER 80 CHAPTER 6 ASYNCHRONOUS QUASI DELAY INSENSITIVE TEMPLATES (QDI) BASED VITERBI DECODER 6.1 INTRODUCTION Asynchronous designs are increasingly used to counter the disadvantages of synchronous designs.

More information

Design Project: Designing a Viterbi Decoder (PART I)

Design Project: Designing a Viterbi Decoder (PART I) Digital Integrated Circuits A Design Perspective 2/e Jan M. Rabaey, Anantha Chandrakasan, Borivoje Nikolić Chapters 6 and 11 Design Project: Designing a Viterbi Decoder (PART I) 1. Designing a Viterbi

More information

Parametric Optimization of Clocked Redundant Flip-Flop Using Transmission Gate

Parametric Optimization of Clocked Redundant Flip-Flop Using Transmission Gate Parametric Optimization of Clocked Redundant Flip-Flop Using Transmission Gate Sapna Sadhwani Student, Department of ECE Lakshmi Narain College of Technology Bhopal, India srsadhwani@gmail.comm Abstract

More information

LFSR Counter Implementation in CMOS VLSI

LFSR Counter Implementation in CMOS VLSI LFSR Counter Implementation in CMOS VLSI Doshi N. A., Dhobale S. B., and Kakade S. R. Abstract As chip manufacturing technology is suddenly on the threshold of major evaluation, which shrinks chip in size

More information

Comparative study on low-power high-performance standard-cell flip-flops

Comparative study on low-power high-performance standard-cell flip-flops Comparative study on low-power high-performance standard-cell flip-flops S. Tahmasbi Oskuii, A. Alvandpour Electronic Devices, Linköping University, Linköping, Sweden ABSTRACT This paper explores the energy-delay

More information

Asynchronous Model of Flip-Flop s and Latches for Low Power Clocking

Asynchronous Model of Flip-Flop s and Latches for Low Power Clocking Asynchronous Model of Flip-Flop s and Latches for Low Power Clocking G.Abhinaya Raja & P.Srinivas Department Of Electronics & Comm. Engineering, Nimra College of Engineering & Technology, Ibrahimpatnam,

More information

DESIGN OF LOW POWER TEST PATTERN GENERATOR

DESIGN OF LOW POWER TEST PATTERN GENERATOR International Journal of Electronics, Communication & Instrumentation Engineering Research and Development (IJECIERD) ISSN(P): 2249-684X; ISSN(E): 2249-7951 Vol. 4, Issue 1, Feb 2014, 59-66 TJPRC Pvt.

More information

Low-Energy VLSI Circuit Architectures

Low-Energy VLSI Circuit Architectures Low-Energy VLSI Circuit Architectures Final Progress Report Prof. Marios C. Papaefthymiou, Principal Investigator 7 July 2003 U.S. Army Research Office Grant No. DAAD19-99-1-0304 Advanced Computer Architecture

More information

EEC 118 Lecture #9: Sequential Logic. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

EEC 118 Lecture #9: Sequential Logic. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation EEC 118 Lecture #9: Sequential Logic Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation Outline Review: Static CMOS Logic Finish Static CMOS transient analysis Sequential

More information

Abstract 1. INTRODUCTION. Cheekati Sirisha, IJECS Volume 05 Issue 10 Oct., 2016 Page No Page 18532

Abstract 1. INTRODUCTION. Cheekati Sirisha, IJECS Volume 05 Issue 10 Oct., 2016 Page No Page 18532 www.ijecs.in International Journal Of Engineering And Computer Science ISSN: 2319-7242 Volume 5 Issue 10 Oct. 2016, Page No. 18532-18540 Pulsed Latches Methodology to Attain Reduced Power and Area Based

More information

UNIT III COMBINATIONAL AND SEQUENTIAL CIRCUIT DESIGN

UNIT III COMBINATIONAL AND SEQUENTIAL CIRCUIT DESIGN UNIT III COMBINATIONAL AND SEQUENTIAL CIRCUIT DESIGN Part A (2 Marks) 1. What is a BiCMOS? BiCMOS is a type of integrated circuit that uses both bipolar and CMOS technologies. 2. What are the problems

More information

LOW POWER AND HIGH PERFORMANCE SHIFT REGISTERS USING PULSED LATCH TECHNIQUE

LOW POWER AND HIGH PERFORMANCE SHIFT REGISTERS USING PULSED LATCH TECHNIQUE OI: 10.21917/ijme.2018.0088 LOW POWER AN HIGH PERFORMANCE SHIFT REGISTERS USING PULSE LATCH TECHNIUE Vandana Niranjan epartment of Electronics and Communication Engineering, Indira Gandhi elhi Technical

More information

Design of Sequential Circuit using Low Power Adiabatic Complementary Pass Transistor Logic

Design of Sequential Circuit using Low Power Adiabatic Complementary Pass Transistor Logic RESEARCH ARTICLE OPEN ACCESS Design of Sequential Circuit using Low Power Adiabatic Complementary Pass Transistor Logic Mamta Kumari Dept. of Electronics & Telecom. Engg, YCCE, Nagpur, India. Kumari.mamta8100@gmail.com

More information

DESIGN OF A NEW MODIFIED CLOCK GATED SENSE-AMPLIFIER FLIP-FLOP

DESIGN OF A NEW MODIFIED CLOCK GATED SENSE-AMPLIFIER FLIP-FLOP DESIGN OF A NEW MODIFIED CLOCK GATED SENSE-AMPLIFIER FLIP-FLOP P.MANIKANTA, DR. R. RAMANA REDDY ABSTRACT In this paper a new modified explicit-pulsed clock gated sense-amplifier flip-flop (MCG-SAFF) is

More information

AN EFFICIENT DOUBLE EDGE TRIGGERING FLIP FLOP (MDETFF)

AN EFFICIENT DOUBLE EDGE TRIGGERING FLIP FLOP (MDETFF) AN EFFICIENT DOUBLE EDGE TRIGGERING FLIP FLOP (MDETFF) S.Santhoshkumar, L.Saranya 2 (UG Scholar, Dept.of.ECE, Christ the king Engineering college, Tamilnadu, India, santhosh29ece@gmail.com) 2 (Asst. Professor,

More information

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL Random Access Scan Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL ramamve@auburn.edu Term Paper for ELEC 7250 (Spring 2005) Abstract: Random Access

More information

Dual Slope ADC Design from Power, Speed and Area Perspectives

Dual Slope ADC Design from Power, Speed and Area Perspectives Dual Slope ADC Design from Power, Speed and Area Perspectives Isaac Macwan, Xingguo Xiong, Lawrence Hmurcik Department of Electrical & Computer Engineering, University of Bridgeport, Bridgeport, CT 06604

More information

A NOVEL APPROACH TO ACHIEVE HIGH SPEED LOW-POWER HYBRID FLIP-FLOP

A NOVEL APPROACH TO ACHIEVE HIGH SPEED LOW-POWER HYBRID FLIP-FLOP A NOVEL APPROACH TO ACHIEVE HIGH SPEED LOW-POWER HYBRID FLIP-FLOP R.Ramya 1, P.Pavithra 2, T. Marutharaj 3 1, 2 PG Scholar, 3 Assistant Professor Theni Kammavar Sangam College of Technology, Theni, Tamil

More information

Figure.1 Clock signal II. SYSTEM ANALYSIS

Figure.1 Clock signal II. SYSTEM ANALYSIS International Journal of Advances in Engineering, 2015, 1(4), 518-522 ISSN: 2394-9260 (printed version); ISSN: 2394-9279 (online version); url:http://www.ijae.in RESEARCH ARTICLE Multi bit Flip-Flop Grouping

More information

Design of a Low Power Four-Bit Binary Counter Using Enhancement Type Mosfet

Design of a Low Power Four-Bit Binary Counter Using Enhancement Type Mosfet Design of a Low Power Four-Bit Binary Counter Using Enhancement Type Mosfet Praween Sinha Department of Electronics & Communication Engineering Maharaja Agrasen Institute Of Technology, Rohini sector -22,

More information

DESIGN AND IMPLEMENTATION OF SYNCHRONOUS 4-BIT UP COUNTER USING 180NM CMOS PROCESS TECHNOLOGY

DESIGN AND IMPLEMENTATION OF SYNCHRONOUS 4-BIT UP COUNTER USING 180NM CMOS PROCESS TECHNOLOGY DESIGN AND IMPLEMENTATION OF SYNCHRONOUS 4-BIT UP COUNTER USING 180NM CMOS PROCESS TECHNOLOGY Yogita Hiremath 1, Akalpita L. Kulkarni 2, J. S. Baligar 3 1 PG Student, Dept. of ECE, Dr.AIT, Bangalore, Karnataka,

More information

Leakage Current Reduction in Sequential Circuits by Modifying the Scan Chains

Leakage Current Reduction in Sequential Circuits by Modifying the Scan Chains eakage Current Reduction in Sequential s by Modifying the Scan Chains Afshin Abdollahi University of Southern California (3) 592-3886 afshin@usc.edu Farzan Fallah Fujitsu aboratories of America (48) 53-4544

More information

NH 67, Karur Trichy Highways, Puliyur C.F, Karur District UNIT-III SEQUENTIAL CIRCUITS

NH 67, Karur Trichy Highways, Puliyur C.F, Karur District UNIT-III SEQUENTIAL CIRCUITS NH 67, Karur Trichy Highways, Puliyur C.F, 639 114 Karur District DEPARTMENT OF ELETRONICS AND COMMUNICATION ENGINEERING COURSE NOTES SUBJECT: DIGITAL ELECTRONICS CLASS: II YEAR ECE SUBJECT CODE: EC2203

More information

LOW POWER LEVEL CONVERTING FLIP-FLOP DESIGN BY USING CONDITIONAL DISCHARGE TECHNIQUE

LOW POWER LEVEL CONVERTING FLIP-FLOP DESIGN BY USING CONDITIONAL DISCHARGE TECHNIQUE LOW POWER LEVEL CONVERTING FLIP-FLOP DESIGN BY USING CONDITIONAL DISCHARGE TECHNIQUE Keerthana S Assistant Professor, Department of Electronics and Telecommunication Engineering Karpagam College of Engineering

More information

Power Reduction Techniques for a Spread Spectrum Based Correlator

Power Reduction Techniques for a Spread Spectrum Based Correlator Power Reduction Techniques for a Spread Spectrum Based Correlator David Garrett (garrett@virginia.edu) and Mircea Stan (mircea@virginia.edu) Center for Semicustom Integrated Systems University of Virginia

More information

REDUCING DYNAMIC POWER BY PULSED LATCH AND MULTIPLE PULSE GENERATOR IN CLOCKTREE

REDUCING DYNAMIC POWER BY PULSED LATCH AND MULTIPLE PULSE GENERATOR IN CLOCKTREE Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 3, Issue. 5, May 2014, pg.210

More information

International Journal Of Global Innovations -Vol.6, Issue.I Paper Id: SP-V6-I1-P46 ISSN Online:

International Journal Of Global Innovations -Vol.6, Issue.I Paper Id: SP-V6-I1-P46 ISSN Online: ANALYSIS OF LOW-POWER AND AREA-EFFICIENT SHIFT REGISTERS USING PULSED LATCH #1 GUNTI SUMANJALI, M.Tech Student, #2 V.SRIDHAR, Assistant Professor, Dept of ECE, MOTHER THERESSA COLLEGE OF ENGINEERING &

More information

AN EFFICIENT LOW POWER DESIGN FOR ASYNCHRONOUS DATA SAMPLING IN DOUBLE EDGE TRIGGERED FLIP-FLOPS

AN EFFICIENT LOW POWER DESIGN FOR ASYNCHRONOUS DATA SAMPLING IN DOUBLE EDGE TRIGGERED FLIP-FLOPS AN EFFICIENT LOW POWER DESIGN FOR ASYNCHRONOUS DATA SAMPLING IN DOUBLE EDGE TRIGGERED FLIP-FLOPS NINU ABRAHAM 1, VINOJ P.G 2 1 P.G Student [VLSI & ES], SCMS School of Engineering & Technology, Cochin,

More information

Memory elements. Topics. Memory element terminology. Variations in memory elements. Clock terminology. Memory element parameters. clock.

Memory elements. Topics. Memory element terminology. Variations in memory elements. Clock terminology. Memory element parameters. clock. Topics! Memory elements.! Basics of sequential machines. Memory elements! Stores a value as controlled by clock.! May have load signal, etc.! In CMOS, memory is created by:! capacitance (dynamic);! feedback

More information

Performance Driven Reliable Link Design for Network on Chips

Performance Driven Reliable Link Design for Network on Chips Performance Driven Reliable Link Design for Network on Chips Rutuparna Tamhankar Srinivasan Murali Prof. Giovanni De Micheli Stanford University Outline Introduction Objective Logic design and implementation

More information

WINTER 15 EXAMINATION Model Answer

WINTER 15 EXAMINATION Model Answer Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme. 2) The model answer and the answer written by candidate

More information

2.6 Reset Design Strategy

2.6 Reset Design Strategy 2.6 Reset esign Strategy Many design issues must be considered before choosing a reset strategy for an ASIC design, such as whether to use synchronous or asynchronous resets, will every flipflop receive

More information

Gated Driver Tree Based Power Optimized Multi-Bit Flip-Flops

Gated Driver Tree Based Power Optimized Multi-Bit Flip-Flops International Journal of Emerging Engineering Research and Technology Volume 2, Issue 4, July 2014, PP 250-254 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) Gated Driver Tree Based Power Optimized Multi-Bit

More information

ELEC 4609 IC DESIGN TERM PROJECT: DYNAMIC PRSG v1.2

ELEC 4609 IC DESIGN TERM PROJECT: DYNAMIC PRSG v1.2 ELEC 4609 IC DESIGN TERM PROJECT: DYNAMIC PRSG v1.2 The goal of this project is to design a chip that could control a bicycle taillight to produce an apparently random flash sequence. The chip should operate

More information

Design and Analysis of Semi-Transparent Flip-Flops for high speed and Low Power Applications in Networks

Design and Analysis of Semi-Transparent Flip-Flops for high speed and Low Power Applications in Networks IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331 PP 58-64 www.iosrjournals.org Design and Analysis of Semi-Transparent Flip-Flops for high speed and

More information

Efficient 500 MHz Digital Phase Locked Loop Implementation sin 180nm CMOS Technology

Efficient 500 MHz Digital Phase Locked Loop Implementation sin 180nm CMOS Technology Efficient 500 MHz Digital Phase Locked Loop Implementation sin 180nm CMOS Technology Akash Singh Rawat 1, Kirti Gupta 2 Electronics and Communication Department, Bharati Vidyapeeth s College of Engineering,

More information

International Journal of Emerging Technologies in Computational and Applied Sciences (IJETCAS)

International Journal of Emerging Technologies in Computational and Applied Sciences (IJETCAS) International Association of Scientific Innovation and Research (IASIR) (An Association Unifying the Sciences, Engineering, and Applied Research) International Journal of Emerging Technologies in Computational

More information

Notes on Digital Circuits

Notes on Digital Circuits PHYS 331: Junior Physics Laboratory I Notes on Digital Circuits Digital circuits are collections of devices that perform logical operations on two logical states, represented by voltage levels. Standard

More information

Sequencing. Lan-Da Van ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall,

Sequencing. Lan-Da Van ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall, Sequencing ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall, 2013 ldvan@cs.nctu.edu.tw http://www.cs.nctu.edu.tw/~ldvan/ Outlines Introduction Sequencing

More information

Minimization of Power for the Design of an Optimal Flip Flop

Minimization of Power for the Design of an Optimal Flip Flop Minimization of Power for the Design of an Optimal Flip Flop Kahkashan Ali #1, Tarana Afrin Chandel #2 #1 M.TECH Student, #2 Associate Professor, 1,2 Department of ECE, Integral University, Lucknow, INDIA

More information

EECS150 - Digital Design Lecture 17 - Circuit Timing. Performance, Cost, Power

EECS150 - Digital Design Lecture 17 - Circuit Timing. Performance, Cost, Power EECS150 - Digital Design Lecture 17 - Circuit Timing March 10, 2011 John Wawrzynek Spring 2011 EECS150 - Lec16-timing Page 1 Performance, Cost, Power How do we measure performance? operations/sec? cycles/sec?

More information

A Low Power Delay Buffer Using Gated Driver Tree

A Low Power Delay Buffer Using Gated Driver Tree IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) ISSN: 2319 4200, ISBN No. : 2319 4197 Volume 1, Issue 4 (Nov. - Dec. 2012), PP 26-30 A Low Power Delay Buffer Using Gated Driver Tree Kokkilagadda

More information

DESIGN AND SIMULATION OF A CIRCUIT TO PREDICT AND COMPENSATE PERFORMANCE VARIABILITY IN SUBMICRON CIRCUIT

DESIGN AND SIMULATION OF A CIRCUIT TO PREDICT AND COMPENSATE PERFORMANCE VARIABILITY IN SUBMICRON CIRCUIT DESIGN AND SIMULATION OF A CIRCUIT TO PREDICT AND COMPENSATE PERFORMANCE VARIABILITY IN SUBMICRON CIRCUIT Sripriya. B.R, Student of M.tech, Dept of ECE, SJB Institute of Technology, Bangalore Dr. Nataraj.

More information

New Single Edge Triggered Flip-Flop Design with Improved Power and Power Delay Product for Low Data Activity Applications

New Single Edge Triggered Flip-Flop Design with Improved Power and Power Delay Product for Low Data Activity Applications American-Eurasian Journal of Scientific Research 8 (1): 31-37, 013 ISSN 1818-6785 IDOSI Publications, 013 DOI: 10.589/idosi.aejsr.013.8.1.8366 New Single Edge Triggered Flip-Flop Design with Improved Power

More information

EECS150 - Digital Design Lecture 18 - Circuit Timing (2) In General...

EECS150 - Digital Design Lecture 18 - Circuit Timing (2) In General... EECS150 - Digital Design Lecture 18 - Circuit Timing (2) March 17, 2010 John Wawrzynek Spring 2010 EECS150 - Lec18-timing(2) Page 1 In General... For correct operation: T τ clk Q + τ CL + τ setup for all

More information

Use of Low Power DET Address Pointer Circuit for FIFO Memory Design

Use of Low Power DET Address Pointer Circuit for FIFO Memory Design International Journal of Education and Science Research Review Use of Low Power DET Address Pointer Circuit for FIFO Memory Design Harpreet M.Tech Scholar PPIMT Hisar Supriya Bhutani Assistant Professor

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2011

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2011 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2011 Lecture 9: TX Multiplexer Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements & Agenda Next

More information

Design of Fault Coverage Test Pattern Generator Using LFSR

Design of Fault Coverage Test Pattern Generator Using LFSR Design of Fault Coverage Test Pattern Generator Using LFSR B.Saritha M.Tech Student, Department of ECE, Dhruva Institue of Engineering & Technology. Abstract: A new fault coverage test pattern generator

More information

CHAPTER 6 DESIGN OF HIGH SPEED COUNTER USING PIPELINING

CHAPTER 6 DESIGN OF HIGH SPEED COUNTER USING PIPELINING 149 CHAPTER 6 DESIGN OF HIGH SPEED COUNTER USING PIPELINING 6.1 INTRODUCTION Counters act as important building blocks of fast arithmetic circuits used for frequency division, shifting operation, digital

More information

Design and Analysis of Modified Fast Compressors for MAC Unit

Design and Analysis of Modified Fast Compressors for MAC Unit Design and Analysis of Modified Fast Compressors for MAC Unit Anusree T U 1, Bonifus P L 2 1 PG Student & Dept. of ECE & Rajagiri School of Engineering & Technology 2 Assistant Professor & Dept. of ECE

More information

CMOS Low Power, High Speed Dual- Modulus32/33Prescalerin sub-nanometer Technology

CMOS Low Power, High Speed Dual- Modulus32/33Prescalerin sub-nanometer Technology IJSTE International Journal of Science Technology & Engineering Vol. 1, Issue 1, July 2014 ISSN(online): 2349-784X CMOS Low Power, High Speed Dual- Modulus32/33Prescalerin sub-nanometer Technology Dabhi

More information

A Novel Low-overhead Delay Testing Technique for Arbitrary Two-Pattern Test Application

A Novel Low-overhead Delay Testing Technique for Arbitrary Two-Pattern Test Application A Novel Low-overhead elay Testing Technique for Arbitrary Two-Pattern Test Application Swarup Bhunia, Hamid Mahmoodi, Arijit Raychowdhury, and Kaushik Roy School of Electrical and Computer Engineering,

More information

IT T35 Digital system desigm y - ii /s - iii

IT T35 Digital system desigm y - ii /s - iii UNIT - III Sequential Logic I Sequential circuits: latches flip flops analysis of clocked sequential circuits state reduction and assignments Registers and Counters: Registers shift registers ripple counters

More information