Rice University, ECE. InsBtute of Technology, EECS 1

Size: px
Start display at page:

Download "Rice University, ECE. InsBtute of Technology, EECS 1"

Transcription

1 FPGA- based True Random Number Generation using Circuit Meta- stability with Adaptive Feedback Control Mehrdad Majzoobi, Farinaz Koushanfar, and Srinivas Devadas 2 Rice University, ECE 2 Massachuse@s InsBtute of Technology, EECS

2 Random Number Generator (RNG) Pseudo- RNG (PRNG) Seed Source of entropy, i.e., a longer random number from a shorter seed Algorithm How is the PRNG seed generated? Predictable? E.g., Netscape browser [] : srand(bme()) True- RNG No seed Based on a random physical phenomenon 2 [] h@p:// netscape.html

3 Sources of Randomness Thermal Noise Shot Noise Flicker Noise Atmospheric Noise Radio Noise Clock Phase Noise Lavarand Developed in 996 Generate randomness from lava lamps Efficiency/Cost? 3 h@p://

4 Applications GeneraBng Keys Nonce Seeds Random numbers used in Gaming and Gambling Demand Secure communicabon Servers E.g. Intel is embedding TRNGs in its new generabon processors 4 h@p://spectrum.ieee.org/semiconductors/processors/behind- intels- new- randomnumber- generator/? utm_source=techalert&utm_medium= &utm_campaign=9

5 What is the Challenge? Digital FPGAs, PSoC Analog ASICs UnconvenBonal Specialized Hardware Mechanical, OpBcal, etc Source of randomness? ImplementaBon cost The cost of generabng one (entropy) bit Quantum random number generabon * Specialized hardware (high cost) Speed/throughput Power Ease of implementabon Security Biasing a@acks * h@p:// FPGA More FPGA designs than ASICs Reconfigurable Shorter Time- to- Market Cheaper in low volume 5

6 Related Work Analog TRNGs Digital TRNGs Clock Metastability Sampling ring oscillator [] Sunar, MarBn, SBnson: A provably secure true random number generator with built- in tolerance to acbve a@acks. IEEE Trans. on Computers 58, 9 9 (27) [2] O Donnell, Suh, & Devadas: PUF- based random number generabon: MIT CSAIL CSG Tech. Memo Cons of popular ROs Low entropy rate Strong dependence on working condibon Can synchronize on perturbabons or other ROs High power consumpbon 6

7 This Work Flip- flop metastability circuit/ambient noise sensor Fine delay tuning force metastable operabon At- speed feedback mechanism automabc tuning Robust operabon Resilient against acbve - biasing Simple design principle Easy to observe how randomness relies on physical phenomena High entropy and throughput per unit area 7

8 Flip- Blop Metastability Metastability Highly sensibve to noise Flip- flop Delay difference Simultaneous arrival 4~5 ps Setup Margin Hold Margin Metastable Region D Q Probability of Q= C.5 Δ Majzoobi, Koushanfar, and Potkonjak Techniques for Design and ImplementaBon of Secure Reconfigurable PUFs. TRETS. Syst. 2,, ArBcle 5, 29 8

9 Delay tuning FPGA Lookup table (LUT) Configured SRAM bits A : Control Delay A = A 2 = Switch Matrix slice slice Switch Matrix slice slice Output Switch Matrix slice slice Switch Matrix slice slice O: Programmable delay Line (PDL) Incremental changes in propagabon path Example: 3- input LUT Tree- like network ResoluBon ~ ps O 9 A A 2 A 3

10 TRNG System Design Monitor bit probabilibes Provide feedback to perform delay tuning Monitor Counter - accumulator Control Linear feedback linear decoding PDL D C Q Control Binary Sequence Monitor Δ p PI proporbonal integral controller Δ b e Δ f Flip-flop G Integral Out

11 Implementation Coarse and fine delay tuning knobs Synthesize delay within a target range Fine PDL resolubon = 32 x Coarse PDL resolubon Linear Decoding Delay Diff. Δ C Counter Value FF c i A6 A5 A4 A3 A2 A i O c o c i A6 A5 A4 A3 A2 A i c O o Coarse PDL Fine PDL

12 Random Walk D random walk through counter values C C + x where x = {,- }, C = counter value Prob{x = - } = - Prob{x = } = f(c) The farther from the center the higher the probability of moving toward the center Course Tuning Blocks Fine Tuning Blocks p p p p p p Increment Counter Value Decrement Counter Value I b m I t m I b 2 I t 2 I b I t I b n I t n I b 2 I t 2 I b I t Decoder DFF D Q C... output inc/dec Binary Counter LSB MSB Decoder

13 Measurement setup Measuring PDL resolubon External lab funcbon generator Linear sweep from MHz to 5MHz Freq * 34 by internal PLL Xilinx Virtex 5 XC5VLX Record error rate 32x32 array 3

14 PDL Delay Measurement Delay difference Coarse delay tap ~ps Fine delay tap ~ps/32 ns ns ns _ = 4

15 Tuning with PDLs Fine tuning stages 32 Coarse tuning stages 32 Measure probability Repeat Bmes Normalize the number of s 5

16 Operation bit counter (5 LSB/MSB bits control fine/coarse PDLs) The counter value finally around a constant value 562 It walks around the center values Here: 564,563,562,56,56, 559 6

17 Steady state statistics : decrement : increment How many Bmes a counter value appears What is the output bit probability associated with each counter values? Output: Counter:

18 Post- Processing Learning filter Only output values when the counter value equals X X is learned by measuring each bit probability for steady state counter values In this case, X = 56 Van Neumann correcbon 8

19 Cost Area (32+32)x2 = 28 LUTs for the PDLs FFs = bit counter Decoder = 2 ROMs (5 bit address width 28 bit word) XC5VLXT 7,28 Slices 296 8kb ROM Can fit more than TRNGs Speed Forward path delay = 6.6ns 6 Mbit/sec, 2Mbit/Sec a{er post- processing Overclocking Parallel cores 9

20 Statistical Test Results NIST suite A{er filtering and post processing 2

21 Conclusion FPGA based true random number generabon Flip- flop meta- stability Use precise delay tuning Programmable delay line Single LUT To generate high quality random bits Self adjusbng mechanism Resilient to acbve Throughput of 2MHz with one block Can have TRNG blocks run in parallel Can perform overclocking 2

True Random Number Generation with Logic Gates Only

True Random Number Generation with Logic Gates Only True Random Number Generation with Logic Gates Only Jovan Golić Security Innovation, Telecom Italia Winter School on Information Security, Finse 2008, Norway Jovan Golic, Copyright 2008 1 Digital Random

More information

Reconfigurable FPGA Implementation of FIR Filter using Modified DA Method

Reconfigurable FPGA Implementation of FIR Filter using Modified DA Method Reconfigurable FPGA Implementation of FIR Filter using Modified DA Method M. Backia Lakshmi 1, D. Sellathambi 2 1 PG Student, Department of Electronics and Communication Engineering, Parisutham Institute

More information

Individual Project Report

Individual Project Report EN 3542: Digital Systems Design Individual Project Report Pseudo Random Number Generator using Linear Feedback shift registers Index No: Name: 110445D I.W.A.S.U. Premaratne 1. Problem: Random numbers are

More information

Asynchronous inputs. 9 - Metastability and Clock Recovery. A simple synchronizer. Only one synchronizer per input

Asynchronous inputs. 9 - Metastability and Clock Recovery. A simple synchronizer. Only one synchronizer per input 9 - Metastability and Clock Recovery Asynchronous inputs We will consider a number of issues related to asynchronous inputs, multiple clock domains, clock synchronisation and clock distribution. Useful

More information

A Automated Design, Implementation, and Evaluation of Arbiter-based PUF on FPGA using Programmable Delay Lines

A Automated Design, Implementation, and Evaluation of Arbiter-based PUF on FPGA using Programmable Delay Lines A Automated Design, Implementation, and Evaluation of Arbiter-based PUF on FPGA using Programmable Delay Lines Mehrdad Majzoobi, Department of Electrical and Computer Engineering, Rice University, Houston,

More information

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Sciences Introductory Digital Systems Lab (6.111) Quiz #2 - Spring 2003 Prof. Anantha Chandrakasan and Prof. Don

More information

Available online at ScienceDirect. Procedia Computer Science 46 (2015 ) Aida S Tharakan a *, Binu K Mathew b

Available online at  ScienceDirect. Procedia Computer Science 46 (2015 ) Aida S Tharakan a *, Binu K Mathew b Available online at www.sciencedirect.com ScienceDirect Procedia Computer Science 46 (2015 ) 1409 1416 International Conference on Information and Communication Technologies (ICICT 2014) Design and Implementation

More information

Why FPGAs? FPGA Overview. Why FPGAs?

Why FPGAs? FPGA Overview. Why FPGAs? Transistor-level Logic Circuits Positive Level-sensitive EECS150 - Digital Design Lecture 3 - Field Programmable Gate Arrays (FPGAs) January 28, 2003 John Wawrzynek Transistor Level clk clk clk Positive

More information

INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY

INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY Tarannum Pathan,, 2013; Volume 1(8):655-662 INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY A PATH FOR HORIZING YOUR INNOVATIVE WORK VLSI IMPLEMENTATION OF 8, 16 AND 32

More information

Self-Test and Adaptation for Random Variations in Reliability

Self-Test and Adaptation for Random Variations in Reliability Self-Test and Adaptation for Random Variations in Reliability Kenneth M. Zick and John P. Hayes University of Michigan, Ann Arbor, MI USA August 31, 2010 Motivation Physical variation is increasing dramatically

More information

How to Predict the Output of a Hardware Random Number Generator

How to Predict the Output of a Hardware Random Number Generator How to Predict the Output of a Hardware Random Number Generator Markus Dichtl Siemens AG, Corporate Technology Markus.Dichtl@siemens.com Abstract. A hardware random number generator was described at CHES

More information

The Design and Analysis of a True Random Number Generator in a Field Programmable Gate Array

The Design and Analysis of a True Random Number Generator in a Field Programmable Gate Array The Design and Analysis of a True Random Number Generator in a Field Programmable Gate Array A thesis submitted in partial fulfillment of the requirements for the degree of Master of Science at George

More information

EITF35: Introduction to Structured VLSI Design

EITF35: Introduction to Structured VLSI Design EITF35: Introduction to Structured VLSI Design Part 4.2.1: Learn More Liang Liu liang.liu@eit.lth.se 1 Outline Crossing clock domain Reset, synchronous or asynchronous? 2 Why two DFFs? 3 Crossing clock

More information

Field Programmable Gate Arrays (FPGAs)

Field Programmable Gate Arrays (FPGAs) Field Programmable Gate Arrays (FPGAs) Introduction Simulations and prototyping have been a very important part of the electronics industry since a very long time now. Before heading in for the actual

More information

Measurements of metastability in MUTEX on an FPGA

Measurements of metastability in MUTEX on an FPGA LETTER IEICE Electronics Express, Vol.15, No.1, 1 11 Measurements of metastability in MUTEX on an FPGA Nguyen Van Toan, Dam Minh Tung, and Jeong-Gun Lee a) E-SoC Lab/Smart Computing Lab, Dept. of Computer

More information

Universal Asynchronous Receiver- Transmitter (UART)

Universal Asynchronous Receiver- Transmitter (UART) Universal Asynchronous Receiver- Transmitter (UART) (UART) Block Diagram Four-Bit Bidirectional Shift Register Shift Register Counters Shift registers can form useful counters by recirculating a pattern

More information

FPGA Implementation of Sequential Logic

FPGA Implementation of Sequential Logic ECE 428 Programmable ASIC Design FPGA Implementation of Sequential Logic Haibo Wang ECE Department Southern Illinois University Carbondale, IL 62901 8-1 Sequential Circuit Model Combinational Circuit:

More information

DIRECT DIGITAL SYNTHESIS AND SPUR REDUCTION USING METHOD OF DITHERING

DIRECT DIGITAL SYNTHESIS AND SPUR REDUCTION USING METHOD OF DITHERING DIRECT DIGITAL SYNTHESIS AND SPUR REDUCTION USING METHOD OF DITHERING By Karnik Radadia Aka Patel Senior Thesis in Electrical Engineering University of Illinois Urbana-Champaign Advisor: Professor Jose

More information

IT T35 Digital system desigm y - ii /s - iii

IT T35 Digital system desigm y - ii /s - iii UNIT - III Sequential Logic I Sequential circuits: latches flip flops analysis of clocked sequential circuits state reduction and assignments Registers and Counters: Registers shift registers ripple counters

More information

NH 67, Karur Trichy Highways, Puliyur C.F, Karur District UNIT-III SEQUENTIAL CIRCUITS

NH 67, Karur Trichy Highways, Puliyur C.F, Karur District UNIT-III SEQUENTIAL CIRCUITS NH 67, Karur Trichy Highways, Puliyur C.F, 639 114 Karur District DEPARTMENT OF ELETRONICS AND COMMUNICATION ENGINEERING COURSE NOTES SUBJECT: DIGITAL ELECTRONICS CLASS: II YEAR ECE SUBJECT CODE: EC2203

More information

EECS150 - Digital Design Lecture 18 - Circuit Timing (2) In General...

EECS150 - Digital Design Lecture 18 - Circuit Timing (2) In General... EECS150 - Digital Design Lecture 18 - Circuit Timing (2) March 17, 2010 John Wawrzynek Spring 2010 EECS150 - Lec18-timing(2) Page 1 In General... For correct operation: T τ clk Q + τ CL + τ setup for all

More information

LFSRs as Functional Blocks in Wireless Applications Author: Stephen Lim and Andy Miller

LFSRs as Functional Blocks in Wireless Applications Author: Stephen Lim and Andy Miller XAPP22 (v.) January, 2 R Application Note: Virtex Series, Virtex-II Series and Spartan-II family LFSRs as Functional Blocks in Wireless Applications Author: Stephen Lim and Andy Miller Summary Linear Feedback

More information

3/5/2017. A Register Stores a Set of Bits. ECE 120: Introduction to Computing. Add an Input to Control Changing a Register s Bits

3/5/2017. A Register Stores a Set of Bits. ECE 120: Introduction to Computing. Add an Input to Control Changing a Register s Bits University of Illinois at Urbana-Champaign Dept. of Electrical and Computer Engineering ECE 120: Introduction to Computing Registers A Register Stores a Set of Bits Most of our representations use sets

More information

Performance Evolution of 16 Bit Processor in FPGA using State Encoding Techniques

Performance Evolution of 16 Bit Processor in FPGA using State Encoding Techniques Performance Evolution of 16 Bit Processor in FPGA using State Encoding Techniques Madhavi Anupoju 1, M. Sunil Prakash 2 1 M.Tech (VLSI) Student, Department of Electronics & Communication Engineering, MVGR

More information

LFSR Based Watermark and Address Generator for Digital Image Watermarking SRAM

LFSR Based Watermark and Address Generator for Digital Image Watermarking SRAM LFSR Based Watermark and Address Generator for igital Image Watermarking SRAM S. Bhargav Kumar #1, S.Jagadeesh *2, r.m.ashok #3 #1 P.G. Student, M.Tech. (VLSI), epartment of Electronics and Communication

More information

Reconfigurable Architectures. Greg Stitt ECE Department University of Florida

Reconfigurable Architectures. Greg Stitt ECE Department University of Florida Reconfigurable Architectures Greg Stitt ECE Department University of Florida How can hardware be reconfigurable? Problem: Can t change fabricated chip ASICs are fixed Solution: Create components that can

More information

Further Details Contact: A. Vinay , , #301, 303 & 304,3rdFloor, AVR Buildings, Opp to SV Music College, Balaji

Further Details Contact: A. Vinay , , #301, 303 & 304,3rdFloor, AVR Buildings, Opp to SV Music College, Balaji S.NO 2018-2019 B.TECH VLSI IEEE TITLES TITLES FRONTEND 1. Approximate Quaternary Addition with the Fast Carry Chains of FPGAs 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. 16. 17. 18. 19. A Low-Power

More information

VLSI IEEE Projects Titles LeMeniz Infotech

VLSI IEEE Projects Titles LeMeniz Infotech VLSI IEEE Projects Titles -2019 LeMeniz Infotech 36, 100 feet Road, Natesan Nagar(Near Indira Gandhi Statue and Next to Fish-O-Fish), Pondicherry-605 005 Web : www.ieeemaster.com / www.lemenizinfotech.com

More information

AN-1200 True Random Number Generator Hardware

AN-1200 True Random Number Generator Hardware AN-1200 True Random Number Generator Hardware In cryptographic applications such as key generation and signing, there is a need for random numbers. There are many methods which hardware or firmware can

More information

Optimization of FPGA Architecture for Uniform Random Number Generator Using LUT-SR Family

Optimization of FPGA Architecture for Uniform Random Number Generator Using LUT-SR Family Optimization of FPGA Architecture for Uniform Random Number Generator Using LUT-SR Family Rita Rawate 1, M. V. Vyawahare 2 1 Nagpur University, Priyadarshini College of Engineering, Nagpur 2 Professor,

More information

Clock Domain Crossing. Presented by Abramov B. 1

Clock Domain Crossing. Presented by Abramov B. 1 Clock Domain Crossing Presented by Abramov B. 1 Register Transfer Logic Logic R E G I S T E R Transfer Logic R E G I S T E R Presented by Abramov B. 2 RTL (cont) An RTL circuit is a digital circuit composed

More information

Adaptive Overclocking and Error Correction Based on Dynamic Speculation Window

Adaptive Overclocking and Error Correction Based on Dynamic Speculation Window Adaptive Overclocking and Error Correction Based on Dynamic Speculation Window Rengarajan Ragavan, Cedric Killian, Olivier Sentieys To cite this version: Rengarajan Ragavan, Cedric Killian, Olivier Sentieys.

More information

A Delay-based PUF Design Using Multiplexer Chains

A Delay-based PUF Design Using Multiplexer Chains A Delay-based PUF Design Using Multiplexer Chains Miaoqing Huang and Shiming Li Department of Computer Science and Computer Engineering University of Arkansas Fayetteville, AR 727, USA Email: {mqhuang,

More information

L11/12: Reconfigurable Logic Architectures

L11/12: Reconfigurable Logic Architectures L11/12: Reconfigurable Logic Architectures Acknowledgements: Materials in this lecture are courtesy of the following people and used with permission. - Randy H. Katz (University of California, Berkeley,

More information

LFSR TEST PATTERN FOR FAULT DETECTION AND DIAGNOSIS FOR FPGA CLB CELLS

LFSR TEST PATTERN FOR FAULT DETECTION AND DIAGNOSIS FOR FPGA CLB CELLS LFSR TEST PATTERN FOR FAULT DETECTION AND DIAGNOSIS FOR FPGA CLB CELLS Fazal Noorbasha, K. Harikishore, Ch. Hemanth, A. Sivasairam, V. Vijaya Raju Department of ECE, KL University, Vaddeswaram, Guntur

More information

FPGA Design. Part I - Hardware Components. Thomas Lenzi

FPGA Design. Part I - Hardware Components. Thomas Lenzi FPGA Design Part I - Hardware Components Thomas Lenzi Approach We believe that having knowledge of the hardware components that compose an FPGA allow for better firmware design. Being able to visualise

More information

Understanding Cryptography A Textbook for Students and Practitioners by Christof Paar and Jan Pelzl. Chapter 2 Stream Ciphers ver.

Understanding Cryptography A Textbook for Students and Practitioners by Christof Paar and Jan Pelzl. Chapter 2 Stream Ciphers ver. Understanding Cryptography A Textbook for Students and Practitioners by Christof Paar and Jan Pelzl www.crypto-textbook.com Chapter 2 Stream Ciphers ver. October 29, 2009 These slides were prepared by

More information

EECS150 - Digital Design Lecture 3 Synchronous Digital Systems Review. Announcements

EECS150 - Digital Design Lecture 3 Synchronous Digital Systems Review. Announcements EECS150 - Digital Design Lecture 3 Synchronous Digital Systems Review September 1, 2011 Elad Alon Electrical Engineering and Computer Sciences University of California, Berkeley http://www-inst.eecs.berkeley.edu/~cs150

More information

Understanding Cryptography A Textbook for Students and Practitioners by Christof Paar and Jan Pelzl. Chapter 2 Stream Ciphers ver.

Understanding Cryptography A Textbook for Students and Practitioners by Christof Paar and Jan Pelzl. Chapter 2 Stream Ciphers ver. Understanding Cryptography A Textbook for Students and Practitioners by Christof Paar and Jan Pelzl www.crypto-textbook.com Chapter 2 Stream Ciphers ver. October 29, 2009 These slides were prepared by

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET476) Lecture 9 (2) Built-In-Self Test (Chapter 5) Said Hamdioui Computer Engineering Lab Delft University of Technology 29-2 Learning aims Describe the concept and

More information

BIST for Logic and Memory Resources in Virtex-4 FPGAs

BIST for Logic and Memory Resources in Virtex-4 FPGAs BIST for Logic and Memory Resources in Virtex-4 FPGAs Sachin Dhingra, Daniel Milton, and Charles E. Stroud Dept. of Electrical and Computer Engineering 200 Broun Hall, Auburn University, AL 36849-5201

More information

Hardware Implementation of Block GC3 Lossless Compression Algorithm for Direct-Write Lithography Systems

Hardware Implementation of Block GC3 Lossless Compression Algorithm for Direct-Write Lithography Systems Hardware Implementation of Block GC3 Lossless Compression Algorithm for Direct-Write Lithography Systems Hsin-I Liu, Brian Richards, Avideh Zakhor, and Borivoje Nikolic Dept. of Electrical Engineering

More information

VLSI System Testing. BIST Motivation

VLSI System Testing. BIST Motivation ECE 538 VLSI System Testing Krish Chakrabarty Built-In Self-Test (BIST): ECE 538 Krish Chakrabarty BIST Motivation Useful for field test and diagnosis (less expensive than a local automatic test equipment)

More information

A Fast Constant Coefficient Multiplier for the XC6200

A Fast Constant Coefficient Multiplier for the XC6200 A Fast Constant Coefficient Multiplier for the XC6200 Tom Kean, Bernie New and Bob Slous Xilinx Inc. Abstract. We discuss the design of a high performance constant coefficient multiplier on the Xilinx

More information

Figure 1 shows a simple implementation of a clock switch, using an AND-OR type multiplexer logic.

Figure 1 shows a simple implementation of a clock switch, using an AND-OR type multiplexer logic. 1. CLOCK MUXING: With more and more multi-frequency clocks being used in today's chips, especially in the communications field, it is often necessary to switch the source of a clock line while the chip

More information

Segmented Leap-Ahead LFSR Architecture for Uniform Random Number Generator

Segmented Leap-Ahead LFSR Architecture for Uniform Random Number Generator , pp.233-242 http://dx.doi.org/10.14257/ijseia.2013.7.5.21 Segmented Leap-Ahead LFSR Architecture for Uniform Random Number Generator Je-Hoon Lee 1 and Seong Kun Kim 2 1 Div. of Electronics, Information

More information

Distributed Arithmetic Unit Design for Fir Filter

Distributed Arithmetic Unit Design for Fir Filter Distributed Arithmetic Unit Design for Fir Filter ABSTRACT: In this paper different distributed Arithmetic (DA) architectures are proposed for Finite Impulse Response (FIR) filter. FIR filter is the main

More information

FPGA Design with VHDL

FPGA Design with VHDL FPGA Design with VHDL Justus-Liebig-Universität Gießen, II. Physikalisches Institut Ming Liu Dr. Sören Lange Prof. Dr. Wolfgang Kühn ming.liu@physik.uni-giessen.de Lecture Digital design basics Basic logic

More information

ECE 172 Digital Systems. Chapter 2.2 Review: Ring Counter, Johnson Counter. Herbert G. Mayer, PSU Status 7/14/2018

ECE 172 Digital Systems. Chapter 2.2 Review: Ring Counter, Johnson Counter. Herbert G. Mayer, PSU Status 7/14/2018 ECE 172 Digital Systems Chapter 2.2 Review: Ring Counter, Johnson Counter Herbert G. Mayer, PSU Status 7/14/2018 1 Syllabus l Ring Counter l Parallel Output Ring Counter l Ring Counter via D Flip-Flops

More information

Modeling Latches and Flip-flops

Modeling Latches and Flip-flops Lab Workbook Introduction Sequential circuits are digital circuits in which the output depends not only on the present input (like combinatorial circuits), but also on the past sequence of inputs. In effect,

More information

An Efficient Reduction of Area in Multistandard Transform Core

An Efficient Reduction of Area in Multistandard Transform Core An Efficient Reduction of Area in Multistandard Transform Core A. Shanmuga Priya 1, Dr. T. K. Shanthi 2 1 PG scholar, Applied Electronics, Department of ECE, 2 Assosiate Professor, Department of ECE Thanthai

More information

Comparative Analysis of Stein s. and Euclid s Algorithm with BIST for GCD Computations. 1. Introduction

Comparative Analysis of Stein s. and Euclid s Algorithm with BIST for GCD Computations. 1. Introduction IJCSN International Journal of Computer Science and Network, Vol 2, Issue 1, 2013 97 Comparative Analysis of Stein s and Euclid s Algorithm with BIST for GCD Computations 1 Sachin D.Kohale, 2 Ratnaprabha

More information

L12: Reconfigurable Logic Architectures

L12: Reconfigurable Logic Architectures L12: Reconfigurable Logic Architectures Acknowledgements: Materials in this lecture are courtesy of the following sources and are used with permission. Frank Honore Prof. Randy Katz (Unified Microelectronics

More information

CSE140L: Components and Design Techniques for Digital Systems Lab. CPU design and PLDs. Tajana Simunic Rosing. Source: Vahid, Katz

CSE140L: Components and Design Techniques for Digital Systems Lab. CPU design and PLDs. Tajana Simunic Rosing. Source: Vahid, Katz CSE140L: Components and Design Techniques for Digital Systems Lab CPU design and PLDs Tajana Simunic Rosing Source: Vahid, Katz 1 Lab #3 due Lab #4 CPU design Today: CPU design - lab overview PLDs Updates

More information

Tutorial 11 ChipscopePro, ISE 10.1 and Xilinx Simulator on the Digilent Spartan-3E board

Tutorial 11 ChipscopePro, ISE 10.1 and Xilinx Simulator on the Digilent Spartan-3E board Tutorial 11 ChipscopePro, ISE 10.1 and Xilinx Simulator on the Digilent Spartan-3E board Introduction This lab will be an introduction on how to use ChipScope for the verification of the designs done on

More information

DEPARTMENT OF ELECTRICAL &ELECTRONICS ENGINEERING DIGITAL DESIGN

DEPARTMENT OF ELECTRICAL &ELECTRONICS ENGINEERING DIGITAL DESIGN DEPARTMENT OF ELECTRICAL &ELECTRONICS ENGINEERING DIGITAL DESIGN Assoc. Prof. Dr. Burak Kelleci Spring 2018 OUTLINE Synchronous Logic Circuits Latch Flip-Flop Timing Counters Shift Register Synchronous

More information

Guidance For Scrambling Data Signals For EMC Compliance

Guidance For Scrambling Data Signals For EMC Compliance Guidance For Scrambling Data Signals For EMC Compliance David Norte, PhD. Abstract s can be used to help mitigate the radiated emissions from inherently periodic data signals. A previous paper [1] described

More information

Flip-Flops and Sequential Circuit Design

Flip-Flops and Sequential Circuit Design Flip-Flops and Sequential Circuit Design ECE 52 Summer 29 Reading ssignment Brown and Vranesic 7 Flip-Flops, Registers, Counters and a Simple Processor 7.5 T Flip-Flop 7.5. Configurable Flip-Flops 7.6

More information

Hardware Implementation of Block GC3 Lossless Compression Algorithm for Direct-Write Lithography Systems

Hardware Implementation of Block GC3 Lossless Compression Algorithm for Direct-Write Lithography Systems Hardware Implementation of Block GC3 Lossless Compression Algorithm for Direct-Write Lithography Systems Hsin-I Liu, Brian Richards, Avideh Zakhor, and Borivoje Nikolic Dept. of Electrical Engineering

More information

Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky,

Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky, Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky, tomott}@berkeley.edu Abstract With the reduction of feature sizes, more sources

More information

LUT Optimization for Memory Based Computation using Modified OMS Technique

LUT Optimization for Memory Based Computation using Modified OMS Technique LUT Optimization for Memory Based Computation using Modified OMS Technique Indrajit Shankar Acharya & Ruhan Bevi Dept. of ECE, SRM University, Chennai, India E-mail : indrajitac123@gmail.com, ruhanmady@yahoo.co.in

More information

Digital System Design

Digital System Design Digital System Design by Dr. Lesley Shannon Email: lshannon@ensc.sfu.ca Course Website: http://www.ensc.sfu.ca/~lshannon/courses/ensc350 Simon Fraser University Slide Set: 8 Date: February 9, 2009 Timing

More information

Logic Analysis Basics

Logic Analysis Basics Logic Analysis Basics September 27, 2006 presented by: Alex Dickson Copyright 2003 Agilent Technologies, Inc. Introduction If you have ever asked yourself these questions: What is a logic analyzer? What

More information

Logic Analysis Basics

Logic Analysis Basics Logic Analysis Basics September 27, 2006 presented by: Alex Dickson Copyright 2003 Agilent Technologies, Inc. Introduction If you have ever asked yourself these questions: What is a logic analyzer? What

More information

An Efficient High Speed Wallace Tree Multiplier

An Efficient High Speed Wallace Tree Multiplier Chepuri satish,panem charan Arur,G.Kishore Kumar and G.Mamatha 38 An Efficient High Speed Wallace Tree Multiplier Chepuri satish, Panem charan Arur, G.Kishore Kumar and G.Mamatha Abstract: The Wallace

More information

CMS Conference Report

CMS Conference Report Available on CMS information server CMS CR 1997/017 CMS Conference Report 22 October 1997 Updated in 30 March 1998 Trigger synchronisation circuits in CMS J. Varela * 1, L. Berger 2, R. Nóbrega 3, A. Pierce

More information

Laboratory 1 - Introduction to Digital Electronics and Lab Equipment (Logic Analyzers, Digital Oscilloscope, and FPGA-based Labkit)

Laboratory 1 - Introduction to Digital Electronics and Lab Equipment (Logic Analyzers, Digital Oscilloscope, and FPGA-based Labkit) Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science 6. - Introductory Digital Systems Laboratory (Spring 006) Laboratory - Introduction to Digital Electronics

More information

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath Objectives Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath In the previous chapters we have studied how to develop a specification from a given application, and

More information

Lecture 8: Sequential Logic

Lecture 8: Sequential Logic Lecture 8: Sequential Logic Last lecture discussed how we can use digital electronics to do combinatorial logic we designed circuits that gave an immediate output when presented with a given set of inputs

More information

An FPGA Implementation of Shift Register Using Pulsed Latches

An FPGA Implementation of Shift Register Using Pulsed Latches An FPGA Implementation of Shift Register Using Pulsed Latches Shiny Panimalar.S, T.Nisha Priscilla, Associate Professor, Department of ECE, MAMCET, Tiruchirappalli, India PG Scholar, Department of ECE,

More information

On the Rules of Low-Power Design

On the Rules of Low-Power Design On the Rules of Low-Power Design (and How to Break Them) Prof. Todd Austin Advanced Computer Architecture Lab University of Michigan austin@umich.edu Once upon a time 1 Rules of Low-Power Design P = acv

More information

Design for Test. Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective.

Design for Test. Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective. Design for Test Definition: Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective. Types: Design for Testability Enhanced access Built-In

More information

Memory Interfaces Data Capture Using Direct Clocking Technique Author: Maria George

Memory Interfaces Data Capture Using Direct Clocking Technique Author: Maria George Application Note: Virtex-4 Family R XAPP701 (v1.4) October 2, 2006 Memory Interfaces Data Capture Using Direct Clocking Technique Author: Maria George Summary This application note describes the direct-clocking

More information

AbhijeetKhandale. H R Bhagyalakshmi

AbhijeetKhandale. H R Bhagyalakshmi Sobel Edge Detection Using FPGA AbhijeetKhandale M.Tech Student Dept. of ECE BMS College of Engineering, Bangalore INDIA abhijeet.khandale@gmail.com H R Bhagyalakshmi Associate professor Dept. of ECE BMS

More information

An Improved DCM-based Tunable True Random Number Generator for Xilinx FPGA

An Improved DCM-based Tunable True Random Number Generator for Xilinx FPGA An Improved DCM-based Tunable True Random Number Generator for Xilinx FPGA RACHURI VENKATESH 1 1M.Tech, Dept. Electronics and communication Engineering, Siddhartha Institute of Technology and Sciences(Approved

More information

ECE 545 Digital System Design with VHDL Lecture 1. Digital Logic Refresher Part B Sequential Logic Building Blocks

ECE 545 Digital System Design with VHDL Lecture 1. Digital Logic Refresher Part B Sequential Logic Building Blocks ECE 545 igital System esign with VHL Lecture igital Logic Refresher Part B Sequential Logic Building Blocks Lecture Roadmap Sequential Logic Sequential Logic Building Blocks Flip-Flops, Latches Registers,

More information

Authentic Time Hardware Co-simulation of Edge Discovery for Video Processing System

Authentic Time Hardware Co-simulation of Edge Discovery for Video Processing System Authentic Time Hardware Co-simulation of Edge Discovery for Video Processing System R. NARESH M. Tech Scholar, Dept. of ECE R. SHIVAJI Assistant Professor, Dept. of ECE PRAKASH J. PATIL Head of Dept.ECE,

More information

Chapter 3 Unit Combinational

Chapter 3 Unit Combinational EE 200: Digital Logic Circuit Design Dr Radwan E Abdel-Aal, COE Logic and Computer Design Fundamentals Chapter 3 Unit Combinational 5 Registers Logic and Design Counters Part Implementation Technology

More information

Design and Implementation of Partial Reconfigurable Fir Filter Using Distributed Arithmetic Architecture

Design and Implementation of Partial Reconfigurable Fir Filter Using Distributed Arithmetic Architecture Design and Implementation of Partial Reconfigurable Fir Filter Using Distributed Arithmetic Architecture Vinaykumar Bagali 1, Deepika S Karishankari 2 1 Asst Prof, Electrical and Electronics Dept, BLDEA

More information

Efficient Architecture for Flexible Prescaler Using Multimodulo Prescaler

Efficient Architecture for Flexible Prescaler Using Multimodulo Prescaler Efficient Architecture for Flexible Using Multimodulo G SWETHA, S YUVARAJ Abstract This paper, An Efficient Architecture for Flexible Using Multimodulo is an architecture which is designed from the proposed

More information

EECS 270 Midterm 1 Exam Closed book portion Winter 2017

EECS 270 Midterm 1 Exam Closed book portion Winter 2017 EES 270 Midterm 1 Exam losed book portion Winter 2017 Name: unique name: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. NOTES: 1. This part of

More information

11. Sequential Elements

11. Sequential Elements 11. Sequential Elements Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 October 11, 2017 ECE Department, University of Texas at Austin

More information

Robust Secure FPGA-based Wireless Smart Meters Utilizing PUF and CSI

Robust Secure FPGA-based Wireless Smart Meters Utilizing PUF and CSI Robust Secure FPGA-based Wireless Smart Meters Utilizing PUF and CSI M.M. Abutaleb Electronic, Communication and Computer Engineering, Helwan University, Cairo, Egypt Applied Natural Sciences, UCC, Qassim

More information

Metastability Analysis of Synchronizer

Metastability Analysis of Synchronizer Forn International Journal of Scientific Research in Computer Science and Engineering Research Paper Vol-1, Issue-3 ISSN: 2320 7639 Metastability Analysis of Synchronizer Ankush S. Patharkar *1 and V.

More information

Secure Remote Sensing and Communication using Digital PUFs

Secure Remote Sensing and Communication using Digital PUFs Secure Remote Sensing and Communication using Digital PUFs Teng Xu, James B. Wendt, and Miodrag Potkonjak Computer Science Department University of California, Los Angeles {xuteng, jwendt, miodrag}@cs.ucla.edu

More information

EEM Digital Systems II

EEM Digital Systems II ANADOLU UNIVERSITY DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING EEM 334 - Digital Systems II LAB 3 FPGA HARDWARE IMPLEMENTATION Purpose In the first experiment, four bit adder design was prepared

More information

data and is used in digital networks and storage devices. CRC s are easy to implement in binary

data and is used in digital networks and storage devices. CRC s are easy to implement in binary Introduction Cyclic redundancy check (CRC) is an error detecting code designed to detect changes in transmitted data and is used in digital networks and storage devices. CRC s are easy to implement in

More information

Memory Interfaces Data Capture Using Direct Clocking Technique Author: Maria George

Memory Interfaces Data Capture Using Direct Clocking Technique Author: Maria George Application Note: Virtex-4 Family XAPP701 (v1.3) September 13, 2005 Memory Interfaces Data Capture Using Direct Clocking Technique Author: Maria George Summary This application note describes the direct-clocking

More information

Assistant Professor, Electronics and Telecommunication Engineering, DMIETR, Wardha, Maharashtra, India

Assistant Professor, Electronics and Telecommunication Engineering, DMIETR, Wardha, Maharashtra, India 2018 IJSRSET Volume 4 Issue 1 Print ISSN: 2395-1990 Online ISSN : 2394-4099 Themed Section : Engineering and Technology Design and Analysis of a Random Number Generator on FPGA D. S. Bhojane 1, Sneha S.

More information

University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science. EECS150, Spring 2011

University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science. EECS150, Spring 2011 University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science EECS150, Spring 2011 Homework Assignment 2: Synchronous Digital Systems Review, FPGA

More information

EN2911X: Reconfigurable Computing Topic 01: Programmable Logic. Prof. Sherief Reda School of Engineering, Brown University Fall 2014

EN2911X: Reconfigurable Computing Topic 01: Programmable Logic. Prof. Sherief Reda School of Engineering, Brown University Fall 2014 EN2911X: Reconfigurable Computing Topic 01: Programmable Logic Prof. Sherief Reda School of Engineering, Brown University Fall 2014 1 Contents 1. Architecture of modern FPGAs Programmable interconnect

More information

FPGA Based Implementation of Convolutional Encoder- Viterbi Decoder Using Multiple Booting Technique

FPGA Based Implementation of Convolutional Encoder- Viterbi Decoder Using Multiple Booting Technique FPGA Based Implementation of Convolutional Encoder- Viterbi Decoder Using Multiple Booting Technique Dr. Dhafir A. Alneema (1) Yahya Taher Qassim (2) Lecturer Assistant Lecturer Computer Engineering Dept.

More information

Collections of flip-flops with similar controls and logic

Collections of flip-flops with similar controls and logic Ensembles of flip-flops Registers Shift registers Counters Autumn 2010 CSE370 - XV - Registers and Counters 1 Registers Collections of flip-flops with similar controls and logic stored values somehow related

More information

Optimization of memory based multiplication for LUT

Optimization of memory based multiplication for LUT Optimization of memory based multiplication for LUT V. Hari Krishna *, N.C Pant ** * Guru Nanak Institute of Technology, E.C.E Dept., Hyderabad, India ** Guru Nanak Institute of Technology, Prof & Head,

More information

Lossless Compression Algorithms for Direct- Write Lithography Systems

Lossless Compression Algorithms for Direct- Write Lithography Systems Lossless Compression Algorithms for Direct- Write Lithography Systems Hsin-I Liu Video and Image Processing Lab Department of Electrical Engineering and Computer Science University of California at Berkeley

More information

Sequential Circuit Design: Principle

Sequential Circuit Design: Principle Sequential Circuit Design: Principle modified by L.Aamodt 1 Outline 1. 2. 3. 4. 5. 6. 7. 8. Overview on sequential circuits Synchronous circuits Danger of synthesizing asynchronous circuit Inference of

More information

A High-Resolution Flash Time-to-Digital Converter Taking Into Account Process Variability. Nikolaos Minas David Kinniment Keith Heron Gordon Russell

A High-Resolution Flash Time-to-Digital Converter Taking Into Account Process Variability. Nikolaos Minas David Kinniment Keith Heron Gordon Russell A High-Resolution Flash Time-to-Digital Converter Taking Into Account Process Variability Nikolaos Minas David Kinniment Keith Heron Gordon Russell Outline of Presentation Introduction Background in Time-to-Digital

More information

CDA 4253 FPGA System Design FPGA Architectures. Hao Zheng Dept of Comp Sci & Eng U of South Florida

CDA 4253 FPGA System Design FPGA Architectures. Hao Zheng Dept of Comp Sci & Eng U of South Florida CDA 4253 FPGA System Design FPGA Architectures Hao Zheng Dept of Comp Sci & Eng U of South Florida FPGAs Generic Architecture Also include common fixed logic blocks for higher performance: On-chip mem.

More information

Design of BIST with Low Power Test Pattern Generator

Design of BIST with Low Power Test Pattern Generator IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 4, Issue 5, Ver. II (Sep-Oct. 2014), PP 30-39 e-issn: 2319 4200, p-issn No. : 2319 4197 Design of BIST with Low Power Test Pattern Generator

More information

LFSR Counter Implementation in CMOS VLSI

LFSR Counter Implementation in CMOS VLSI LFSR Counter Implementation in CMOS VLSI Doshi N. A., Dhobale S. B., and Kakade S. R. Abstract As chip manufacturing technology is suddenly on the threshold of major evaluation, which shrinks chip in size

More information