IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 25, NO. 9, SEPTEMBER

Size: px
Start display at page:

Download "IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 25, NO. 9, SEPTEMBER"

Transcription

1 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 25, NO. 9, SEPTEMBER SPIDER: Sizing-Priority-Based Application-Driven Memory for Mobile Video Applications Na Gong, Member, IEEE, Seyed Alireza Pourbakhsh, Xiaowei Chen, Xin Wang, Dongliang Chen, Student Member, IEEE, and Jinhui Wang, Member, IEEE Abstract Recently, mobile devices such as smartphones and tablets have become the most important medium for delivering internet traffic, especially multimedia content, to end users. However, mobile embedded memory incurs large power consumption owing to the highly frequent access and extensive computation. This paper presents an sizing-priority-based application-driven memory (SPIDER) design methodology for low-power mobile video applications. We investigate the size dependent memory failure characteristics and effectively reduce the memory failure rate with low area overhead. Also, we develop a model for the influence of the memory failure on video output, connecting the hardware design process and application requirement. Based on this, we design the SPIDER algorithms for area-priority and quality-priority mobile video applications. During this process, we also consider the contribution of both Luma and Chroma to output quality, avoiding over-optimization issue. We also develop a hardware-based python-assisted SPIDER simulator to apply our proposed design in one leading edge video compression system, the H.264 decoder. Our simulation results in 45-nm CMOS technology show that SPIDER supports mobile videos successfully as voltage downs to 500 mv from 1 V, enabling over 70% power savings in memory arrays. Index Terms Application, area, embedded memory, mobile video, power consumption. I. INTRODUCTION RECENTLY, mobile devices such as smartphones have become the most important medium for delivering enduser internet traffic, especially multimedia content. According to research from Cisco in February 2013, two-thirds of global mobile data traffic will be driven by video in 2017 [1]. Fig. 1 shows an example of a video streaming system. The original video is compressed to reduce the number of data bits and then transmitted to mobile devices over a communication channel based on a specific protocol, such as Apple s hypertext transfer protocol live streaming [49]. However, video decoding has become the most important energy-intensive application Manuscript received August 25, 2016; revised January 17, 2017 and March 28, 2017; accepted April 30, Date of publication June 28, 2017; date of current version August 23, This work was supported in part by the National Science Foundation under Grant CCF and CNS , in part by the ND NASA EPSCoR, in part by the ND Venture Grant, in part by the NDSU-RCA funding, and in part by the Offerdahl Foundation. (Corresponding authors: Na Gong; Jinhui Wang.) The authors are with the Department of Electrical and Computer Engineering, North Dakota State University, Fargo, ND USA ( na.gong@ndsu.edu; seyedalireza.pourbak@ndsu.edu; xiaowei.chen@ndsu.edu; xin.wang.2@ndsu.edu; dongliang.chen@ndsu.edu; jinhui.wang.1@ndsu.edu). Color versions of one or more of the figures in this paper are available online at Digital Object Identifier /TVLSI Fig. 1. Mobile video streaming. used in mobile devices [2]. In particular, the major signal processing units in video decoders, such as motion estimation, require a significant number of calculations and need frequent embedded memory accesses. Embedded static random access memory (SRAM) occupies over 65% of the core area of a video decoder chip [8] and contributes to over 30% of the system power consumption of a mobile device [9] [12]. This situation is only expected to grow for the nextgeneration mobile video format H.265/high efficiency video coding (HEVC) which has 2 3 higher memory demand compared to that of H.264 [30]. First, the increased pixel complexity (10 b/pixel and pixels/frame) and ultrahigh throughput requirement (120 fps) require much larger on-chip memories as data memories and pipeline buffers [31]. Second, to meet the high bandwidth requirement, designers increase on-chip memories to reduce off-chip memory traffic [32] [34]. References [31], [34], and [35] are the three recently published mobile video decoders featuring 396, 154, and 308 kb of onchip SRAM. Consequently, enhancing the energy efficiency of on-chip SRAM is of paramount importance to enable efficient mobile video systems. Supply voltage scaling is one of the most effective techniques to reduce the power consumption of memory [1] [7]. However, there are three main considerations for low-voltage memory designers. 1) The noise margin of conventional SRAM deteriorates significantly due to the process variation at low voltage. 2) Reducing the area overhead of low-power embedded SRAM is another major design concern. 3) Various mobile video applications have different requirements, from area-priority applications such as healthcare video streaming to quality-priority applications such as ultra-high-definition videos and 3-D gaming. In this paper, we present an sizing-priority-based application-driven memory (SPIDER) design methodology for power efficient mobile video applications. We make the following contributions in this paper IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See for more information.

2 2626 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 25, NO. 9, SEPTEMBER ) Based on the detailed analysis on memory failure characteristics, we propose a novel priority-based SRAM sizing methodology to enhance SRAM fault tolerance ability. Different from previous sizing approaches, our technique only increases the size of most sensitive transistors to failure, thereby reducing the area overhead (Section III). 2) We develop a new model that connects memory failure and video output, considering both Luma and Chroma. To the best of our knowledge, none of the existing hardware design techniques consider application output directly while designing hardware. Also, our model includes both Luma and Chroma contributions to output quality, avoiding over-optimization (Section IV-A). 3) We design SPIDER algorithms for area-priority and quality-priority applications, maximizing the power efficiency (Section IV-B). 4) We design a hardware-based evaluation flow, directly injecting memory failure into the application process with high control precision. We also present a pythonassisted controlling scheme to achieve an automatic evaluation process. We apply our proposed design in one leading edge video compression system, the H.264 decoder. Our evaluation results show that SPIDER achieves significant power savings for different video applications. (Details are shown in Section V.) The rest of this paper is organized as follows. In Section II, we provide a review of related low-power mobile video techniques. In Section III, we present the sizing dependent memory failure characteristics and its impact on video system. In Section IV, we develop new algorithms to achieve optimized embedded memory for different video applications. Section V describes the simulation methodology and results, followed by the conclusion in Section VI. In our analysis, we use a high-performance 45-nm CMOS process to meet the multimegahertz performance requirement of the video decoder in the modern mobile devices. II. RELATED WORK Significant amount of research that targets low-power memory has been reported in the literature. In this section, we briefly review some existing work related to the proposed technique. Low-power mobile video techniques can broadly be classified into two different categories. A. General-Purpose Memory Used for Mobile Video Applications Many solutions are developed to lower the power consumption of memory utilizing assist schemes such as adjustment of cell voltage [13], boosted wordline voltage [14], [15], dualrail supply schemes [16], negative bitline schemes [17], [18], and read modify write or write-back schemes [19], [20]. The improvements in power efficiency are often achieved with significant design complexity and power penalty for voltage regulations or boosting circuits. Most existing solutions adopt more than 6T to achieve lowpower operation, such as asymmetric 7T cell [21], singleended read-decoupled 8T cells [22], [23], Zigzag 8T cells [24], read-disturb-free 9T [25] and 10T SRAM cells [26], and bitinterleaving 12T cells [27]. However, the developed memory cells still suffer from the write half-select disturb problem, limiting the power efficiency that can be achieved. Most importantly, all of these general-purpose memory designs fail to consider the context of the target video applications, thereby losing potential power saving opportunities. B. Mobile Video Specific Memory Several recent efforts have explored mobile video memory design with attempts to consider simple application-specific properties, such as data patterns [2] and contributions of different data bits [4], [5], [19]. Many mobile video SRAM designs have been presented for low-power consumption. In [3] and [7], hybrid 6T + 8T and 8T + 10T SRAM structures were presented to achieve quality-area optimization. However, such hybrid structures increase the implementation complexity of peripheral circuitries such as memory decoders. In [4], a heterogeneous sizing scheme was presented to reduce the failure probability of conventional 6T bit-cells, but it suffers from large area overhead and it can only achieve 0.9-V operation supply, limiting the power efficiency. In [5], errorcorrection-code (ECC) approach is proposed to reduce the area overhead of 8T bit-cells, but it suffers from a performance penalty for data encoding/decoding and area overhead for both ECC circuitry and redundancy data. Also, all of those techniques ignore Chroma data and they may lose optimization opportunities. The common feature of the above existing techniques is that the power savings come at a cost of large area overhead. In contrast, the proposed SPIDER realizes significant power savings with reduced area overhead while consider areapriority and quality-priority mobile video applications. Note that we had earlier presented the basic idea of SPIDER in [29] with some preliminary results. In this paper, we extend our original work and make the following additional contributions. 1) Novel SPIDER algorithms are designed for both the area-priority and quality-priority video applications in Section IV-B and the detailed evaluation results are presented and discussed in Section V. 2) A memory sizing methodolgy considering both Luma and Chroma data is presented in Section IV, and the evaluation results are discussed in Section V-C. It shows that the proposed Luma + Chroma technique solves the over-optimization problem caused by traditional design which only includes Luma data, and therefore, the proposed technique is effective in saving silicon area. 3) A hardware-based SPIDER simulator based on Verilog, MATLAB, HSPICE, and Python is detailed in Section V-A to enable the higher simulation precision as compared to traditional software-based simulators. 4) A video memory power consumption model is presented, and based on it, the power efficiency of the proposed technique is compared with the traditional design and existing techniques. 5) The video outputs using the proposed technique for different applications are included.

3 GONG et al.: SPIDER FOR MOBILE VIDEO APPLICATIONS 2627 TABLE I SIZING DEPENDENT SRAM FAILURE CHARACTERISTICS, 45-nm TECHNOLOGY Fig. 2. (a) Standard 6T SRAM (WPU:WPD:WAX = 1:2:1.5). (b) Failure rate of CASE I with 20% increase. (c) Failure rate of CASE III with 20% increase. III. SIZING DEPENDENT SRAM FAILURE CHARACTERISTICS AND FAILURE-INDUCED VIDEO DEGRADATION In this section, we first analyze the sizing dependent SRAM failure characteristics. Then, the impact of memory failure on H.264 video system is discussed. A. Sizing Dependent SRAM Failure Characteristics Fig. 2(a) shows a schematic of 6T SRAM bit-cell. In lowvoltage operation with process variation, the worst process corners for 6T SRAM read operation and write operation are fast-nmos and slow-pmos (FS) and slow-nmos and fastpmos (SF), respectively [3], [4], [7]. Since the read failure rate at FS corner [P RF (FS)] is much larger than the write failure rate at SF corner [P WF (FS)], the overall 6T SRAM cell failure rate (P F ) can be estimated as the read failure rate in the FS process corner, as expressed as P F = P RF (FS) + P WF (SF) = P RF (FS). (1) Researchers have shown that the failure rate of SRAM bitcells decreased with larger transistor size, and they increased all 6T transistors to reduce the failure rate [4]. In this paper, we explored the sizing dependent memory failure characteristics, based on extensive SPICE Monte Carlo simulations. To keep the sizing radio of different devices in SRAM, we increase the width and the length simultaneously. We consider four different sizing cases. 1) CASE I: The size of all 6T transistors is increased. 2) CASE II: The size of only two nmos transistors (PD) is increased. 3) CASE III: The size of only two access nmos transistors (AX) is increased. 4) CASE IV: The size of only two pmos transistors (PU) is increased. The results are shown in Table I. As observed, if only two pmos transistors (PU) are larger, the failure rate is growing. This is because, larger pull-up transistors make the reading process even more difficult. It should be noted from Table I that increasing all 6T transistors in prior work cannot optimize the failure rate, and it also induces large area overhead. The failure rate is minimized with only two larger nmos access transistors AX [see Fig. 2(a)]. As the size of access transistors is increased by 50%, the failure rate is reduced from 1335/ to 3/ We further analyzed the butterfly curves of CASE I and CASE III from Monte Carlo simulations, as shown in Fig. 2(b) and (c). We define a fail area based on the curves, where three intersection points exist in butterfly curves, indicating a read failure. As shown, more butterfly curves have three intersection points and therefore the fail area of CASE I is much larger than CASE III. Accordingly, in our SPIDER design, we adopt the CASE III sizing methodology to reduce memory failure with reduced area overhead or better video quality depending on the requirement of applications, which will be discussed in Section IV. B. Video Pixel Data Characteristics In this paper, we apply the SPIDER to H.264 video system, which is one of the most popular video codec standards in mobile multimedia communications. Fig. 3 shows the general block diagram of the H.264 decoder. After decoding, inverse quantization and inverse transformation, the residual error of frames can be reconstructed based on the compressed video streams. The motion compensator uses the previous

4 2628 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 25, NO. 9, SEPTEMBER 2017 Fig. 3. H.264 decoder and video data stored in memory. Fig. 4. Impact of memory failure on video output. (a) 4 LOB (bit3 bit0) with 4/ failure rate. (b) 4 HOB (bit7 bit4) with 4/ failure rate. (c) All Chroma 8-b data with 4/ failure rate. (d) All Luma 8-b data with 4/ failure rate. reconstructed frames stored in the reference frame buffer and the transmitted motion vectors to construct new frames. Due to the frequent accesses, embedded SRAM consumes large power consumption, which is the dominant contributor to the entire H.264 decoder power [4]. Accordingly, ultralow voltage embedded SRAM design is extremely important for power efficient mobile video applications. As shown in Fig. 3, in a video system supporting common intermediate format (CIF) video format (30 fps, 4:2:0), embedded memory stores 8-b Luma data (Y ) and 8-b Chroma data (C b and C r ). Luma data represent the brightness in a frame, while the Chroma data represent the color information. Since the human vision has stronger sensitivity to luminance differences, previous memory researchers only consider Luma in the design process [3], [4]. However, ignoring the memory failure impact on Chroma may induce over-optimization, losing power saving opportunities. In SPIDER, we consider the contribution of both Luma and Chroma to the output quality while optimizing the application-driven memory, as discussed in Section IV. Here, we use peak-signal-noise-ratio (PSNR) as the output quality metric, which is defined as [7] ( ) 255 PSNR = 20 log 10 (2) MSE where MSE is the mean square error between the original videos (Y Org ) and the degraded videos (Y Deg ), as expressed MSE = 1 mn m 1 n 1 [Y Org (i, j) Y Deg (i, j)] 2. (3) }{{} i=0 j=0 pixel Fig. 4 shows the impact of memory failure on output quality. As expected, as compared to low-order bits (LOBs), the highorder bits (HOBs) have the larger contribution to the output quality. If four LOBs (bit3 bit0) are stored in memory with 4/ fault rate, PSNR is as high as Alternatively, if four HOBs (bit7 bit4) are stored in the same memory, PSNR is reduced to Another observation from Fig. 4 is that memory failure-induced Chroma data also plays an important role in output quality, reducing PSNR to It is only db higher than memory failure-induced Luma data. Accordingly, ignoring Chroma data may not achieve a poweroptimized video hardware. IV. PROPOSED SPIDER ALGORITHM To implement SPIDER, a model which can connect memory failure and video output quality is required. In this section, we first develop a model to connect memory failure and output quality. Based on this, we develop SPIDER algorithms for area-priority and quality-priority mobile video applications. A. Modeling Memory Failure and Video Output Based on MSE expressed in (3), we define MSE of an 8-b pixel data-bit due to memory failure as MSE pixel = (Y Org Y Deg ) 2. (4) To estimate the impact of memory failure on the output quality, we get [ 7 2 MSE pixel = (2 k Y k )] (5) k=0 where Y k is the memory failure coefficients for each bit { 1 if memory bit k fails Y k = (6) 0 otherwise. Assume Y k is a value between 0 and 1. If the failure rate for each bit is the same as f, then (5) becomes [ 7 MSE pixel = (2 k Y f ) k=0 [ 7 ] 2 = Y 2 f 2 k k=0 ] 2 = Y 2 f ( ) 2 = Y 2 f. (7)

5 GONG et al.: SPIDER FOR MOBILE VIDEO APPLICATIONS 2629 TABLE II COEFFICIENTS FOR THE SPIDER MODEL Algorithm 1 Area-Priority SPIDER Fig. 5. Memory failure and video output quality PSNR for ten random memory combinations. Therefore, we get Y f = MSEpixel, f 255 [ 7 MSE pixel = (2 k Y f,k ) k=0 ] 2 (8) where Y f,k represnts the memory failure coefficients for bit k. Therefore, (8) captures the approximately square relationship between memory failure coefficients Y f and output quality MSE. The obtained memory failure coefficients are listed in Table II. Fig. 5 compares the derived model against the obtained video decoding output from H.264 simulator. We randomly pick ten memory failure combinations and then evaluate the video output quality. As shown, the error rate is less than 6%, demonstrating acceptable accuracy of the developed model. B. SPIDER Algorithm Based on the developed model between memory failure and output quality, we design SPIDER algorithms for area-priority and quality-priority applications. 1) Problem Definition: The SPIDER sizing optimization problem can be formulated as follows: 1) given an application constraint and target supply voltage and 2) determine memory bit-cell size so that the target performance parameter is optimized. For mobile video embedded memory storing an 8-b Luma/Chroma data (e.g., H.264), the bit-cell size set can be represented as D SPIDER = d7, d6, d5,...,d0. Note that, for emerging HEVC videos with 10 b/pixel [31], D SPIDER = d9, d8, d7,...,d0. In our experiment with target voltage of 500 mv, the minimum size of bit-cell AX is L min /W min = 50 nm/100 nm and the maximum size L max /W max = 165 nm/495 nm. We use 5 nm as steps, which is the minimum permissible grid size for 45-nm technology. To implement SPIDER, we use a similar lookup table-based approach in [4], which provides the failure rate and silicon area for a specified SRAM size. In the following sections, we consider two applications: area-priority and quality-priority SPIDER Algorithm The SRAM bit-cell size search problem can be considered as a problem of finding a bit-cell size set, D = d7, d6, d5,..., d0, which gives rise to the minimum area overhead while achieving the target PSNR. The procedure for

6 2630 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 25, NO. 9, SEPTEMBER 2017 Algorithm 2 Quality-Priority SPIDER failure rate in the FS process corner for 6T SRAM cells with a failure rate analyzer which was implemented using MATLAB. During the failure rate estimation process, Python program is used to control HSPICE and MATLAB, changing the design parameters automatically such as voltage values and bit-cell sizing parameters. The obtained memory failure rates are used for fault injection. Then, we implement a H.264 decoder based on Verilog language and randomly inject the memory faults across the reference frame buffer based on the obtained failure probabilities. Finally, we capture the video frames on the H.264 decoder side to evaluate the video quality with calculated PSNR values. B. Video Memory Power Consumption Model We use the following model to estimate the overall active power consumption including both dynamic and leakage power of embedded SRAM: P = P w + P r (9) area-priority SPIDER sizing is described in Algorithm 1. Note that, to speed up this process, we can use other algorithms such as dynamic programming approach. 2) Quality-Priority SPIDER Algorithm: The SRAM bit-cell size search problem can be considered as a problem of finding a bit-cell size set D, which gives rise to the best output quality under a specific area constraint. Algorithm 2 shows the algorithm pseudocode. V. EXPERIMENTAL RESULTS A. Experimental Methodology We use 300 frames of Akifo colorful CIF video sequences to verify the output quality based on the proposed SRAM scheme. The frame size in our simulation is In order to inject memory failure-induced faults into decoding process, we implement a hardware-based SPIDER simulator, as shown in Fig. 6. As compared to software-based video coding simulator, such as JM simulator [28], the SPIDER simulator is that it can specifically identify the memory modules and directly injecting memory faults, achiving higher precision. As shown in Fig. 6, the SPIDER consists of three components: 1) python-based controller; 2) HSPICE/MATLAB-based memory failure analyzer; and 3) verilog-based H. 264 mobile video decoder. The working process is detailed as follows. In order to observe the video quality degradations during the low-voltage operations caused by memory failures, first, we performed HSPICE Monte Carlo simulations to obtain the failure probabilities of SRAM bit-cells with local threshold voltage (V th ) variation in the worst global process corner. As discussed in Section III-A, we measured the read where P w and P r are the power consumption on write and read operations, respectively. For an 8-b pixel data, the power consumption can be expressed as 7 P w = [F k (i, j) P wk (i, j)] (10) P r = k=0 i=0,1 j=0,1 7 [F k (i) P rk (i)] (11) k=0 i=0,1 where k is the bit number; i and j are old and new values stored in an SRAM. F(i) represents the probability of a bit to be i where i = 0 or 1. F(i, j) indicates the bit change (switching) probability from i to j, where both i and j are 0 or 1. It is worth noting that, for most significant bits in 8-b pixel data, F(0, 0) and F(1, 1) are much higher than F(0, 1) and F(1, 0) due to their stong correlation [7]. In our analysis, F(i) and F(i, j) are extracted from the real video frames in the decoding process. C. SPIDER for Area Priority Applications In our implementation, the target PSNR is set as 30.5 db. Table III presents the optimal SRAM bit-cell sizes and failure rate based on only Luma-based optimization and Luma- and Chroma-based optimization ( Luma + Chroma ). It shows that only considering Luma during optimization process would induce more area overhead, as shown in Fig. 7. Although the proposed Luma + Chroma technique only reduces the area of bit 2 from to μm 2 for this video format (4:2:0 YUV videos), additional area savings are expected to achieve for other video formats such as (4:4:4 or uncompressed videos) due to the increased contribution of chroma data. Fig. 8 shows the power savings of the proposed memory as compared to the basic memory. We can see that, with the proposed SPIDER which can work at 500 mv, an 8-b memory array achieves over 70% power savings as compared to the traditional memory at 1 V. Using a modified version

7 GONG et al.: SPIDER FOR MOBILE VIDEO APPLICATIONS 2631 Fig. 6. SPIDER simulator and Python controller. TABLE III OPTIMAL SRAM BIT-CELL SIZES AND CORRESPONDING FAILURE PROBABILITIES of CACTI 5.3 [36], we model a typical mobile video on-chip SRAM [3], [37] in 45-nm technology. The total memory size is 32 kb with four banks and each bank has b. It shows that the bit-lines and bit-cells consume 11% 20% power consumption during the reading and writing processes. Accordingly, SPIDER can enable 8% 16% power savings

8 2632 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 25, NO. 9, SEPTEMBER 2017 Fig. 7. Layout of application-driven memory for an 8-b pixel. (Top) Designed memory considering both Luma and Chroma. (Bottom) Designed memory only considering the contribution of Luma. Fig. 8. Power savings of SPIDER. Conventional: basic memory at 1 V, Area-priority: area-priority SPIDER with PSNR Target = 30.5 db, qualitypriority (50% area): quality-priority SPIDER with area constraint as 50% overhead, and quality-priority (75% area): quality-priority SPIDER with area constraint as 75% overhead. for the entire memory. Note that, no peripheral circuit modification is needed to implement SPIDER as compared to traditional SRAM. The proposed SPIDER technique, which aims to reduce the power consumption of memory bitcells, can be applied in conjunction with the low-power peripheral techniques, such as the multiple sleep modes zig-zag horizontal and vertical sleep transistor sharing approach [32] to achieve additional power savings. We further evaluate the performance of SPIDER memory. It shows that although SPIDER brings performance penalty, the delay time is smaller than ns, which is fast encough to support various mobile videos, including highquality videos. Finally, we evaluate the video output quality based on SPIDER. Fig. 9 shows the results of the Akiyo clip, based on different memory designs. We can see that, the conventional 6T SRAM results in significant degradation of frame quality at 500 mv and the PSNR is only db. Alternatively, our proposed SPIDER scheme can deliver output quality with no significant degradation. D. SPIDER for Quality-Priority Applications Based on the developed SPIDER Algorithm 2, we also implement mobile video memory for quality-priority Fig. 9. Output quality for area-priority applications. (a) Conventional memory. (b) SPIDER considering both Luma and Chroma. (c) SPIDER only consider Luma. Fig. 10. Output quality for quality-priority applications with 50% area constraint. (a) All-6T [4]. (b) SPIDER. Fig. 11. Output quality for quality-priority applications with 75% area constraint. (a) All-6T [4]. (b) SPIDER. applications. Fig. 10 compares the video output based on all-6t sizing methodology [4] and SPIDER with 50% area constraint. We can see that, with the same area constraint, our proposed SPIDER methodology significantly improves the output quality. As compared to all-6t sizing approach in [4], the PSNR is increased from db to db. Fig. 11 shows the video outputs with 75% area constraint. In this case, the PSNR based on the developed SPIDER

9 GONG et al.: SPIDER FOR MOBILE VIDEO APPLICATIONS 2633 methodology is improved by db. Alternately, the PSNR based on all-6t methodology [4] does not show significant improvement. Accordingly, SPIDER achieves the larger quality improvement as the area constraint increases. VI. CONCLUSION In this paper, we have presented a new SPIDER design technique for power efficient mobile video applications. The technique adopts a priority-based SRAM sizing methodology to mitigate memory failure at low operation voltage while reducing the area overhead. We designed the model between memory failure and output quality, thereby introducing application output into the hardware design process. Based on this, we designed memory optimization algorithms for areapriory and quality-priority mobile video applications. Finally, we developed a hardware-based Python-assisted simulator, enabling precise memory fault injection into mobile video decoding process. The simulation results demonstrate that the proposed design achieves over 70% power savings as compared to the conventional SRAM array. REFERENCES [1] Cisco Visual Networking Index: Global Mobile Data Traffic Forecast Update, , Cisco Syst., San Jose, CA, USA, Feb [2] M. E. Sinangil and A. P. Chandrakasan, application-specific SRAM design using output prediction to reduce bit-line switching activity and statistically gated sense amplifiers for up to 1.9 lower energy/access, IEEE J. Solid-State Circuits, vol. 49, no. 1, pp , Jan [3] I. J. Chang, D. Mohapatra, and K. Roy, A priority-based 6T/8T hybrid SRAM architecture for aggressive voltage scaling in video applications, IEEE Trans. Circuits Syst. Video Technol., vol. 21, no. 2, pp , Feb [4] J. Kwon, I. Lee, and J. Park, Heterogeneous SRAM cell sizing for low power H.264 applications, IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 99, no. 2, pp. 1 10, Feb [5] J. Park, J. Park, and S. Bhunia, VL-ECC: Variable data-length error correction code for embedded memory in DSP applications, IEEE Trans. Circuits Syst. II, Express Briefs, vol. 61, no. 2, pp , Feb [6] M. Cho, J. Schlessman, W. Wolf, and S. Mukhopadhyay, Reconfigurable SRAM architecture with spatial voltage scaling for low power mobile multimedia applications, IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 19, no. 1, pp , Jan [7] N. Gong, S. Jiang, A. Challapalli, S. Fernandes, and R. Sridhar, Ultra-low voltage split-data-aware embedded SRAM for mobile video applications, IEEE Trans. Circuits Syst. II, Express Briefs, vol. 59, no. 12, pp , Dec [8] J. S. Wang, P. Y. Chang, T. S. Tang, J. W. Chen, and J. I. Guo, Design of subthreshold SRAMs for energy-efficient quality-scalable video applications, IEEE Trans. Emerg. Sel. Topics Circuits Syst., vol. 1, no. 2, pp , Jun [9] M. A. Hoque, M. Siekkinen, and J. K. Nurminen, Energy efficient multimedia streaming to mobile devices A survey, IEEE Commun. Surveys Tuts., vol. 16, no. 1, pp , 1st Quart., [10] Y. Benmoussa, J. Boukhobza, E. Senn, and D. Benazzouz, Energy consumption modeling of H.264/AVC video decoding for GPP and DSP, in Proc. 16th Euromicro Conf. Digit. Syst. Design, 2013, pp [11] A. Carroll and G. Heiser, An Analysis of Power Consumption in a Smartphone, in Proc. USENIX Annu. Tech. Conf., 2010, pp [12] T. Liu et al., A 125 μw, fully scalable MPEG-2 and H.264/AVC video decoder for mobile applications, IEEE J. Solid-State Circuits, vol. 42, no. 1, pp , Jan [13] K. Nii et al., A 45-nm bulk CMOS embedded SRAM with improved immunity against process and temperature variations, IEEE J. Solid-State Circuits, vol. 43, no. 1, pp , Jan [14] O. Hirabayashi et al., A process-variation-tolerant dual-power-supply SRAM with 0.179μm 2 Cell in 40nm CMOS using level-programmable wordline driver, in IEEE Int. Solid-State Circuits Conf. (ISSCC) Dig. Tech. Papers, Feb. 2009, pp [15] T. Suzuki, H. Yamauchi, Y. Yamagami, K. Satomi, and H. Akamatsu, A stable 2-port SRAM cell design against simultaneously read/writedisturbed accesses, IEEE J. Solid-State Circuits, vol. 43, no. 9, pp , Sep [16] F. Tachibana et al., A 27% Active and 85% standby power reduction in dual-power-supply SRAM using BL power calculator and digitally controllable retention circuit, IEEE J. Solid-State Circuits, vol. 49, no. 1, pp , Jan [17] N. Shibata, H. Kiya, S. Kurita, H. Okamoto, M. Tan no, and T. Douseki, A 0.5-V 25-MHz 1-mW 256-kb MTCMOS/SOI SRAM for solar-power-operated portable personal digital equipment Sure write operation by using step-down negatively overdriven bitline scheme, IEEE J. Solid-State Circuits, vol. 41, no. 3, pp , Mar [18] D. P. Wang et al., A 45nm dual-port SRAM with write and read capability enhancement at low voltage, in Proc. IEEE Int. SoC Conf., Sep. 2007, pp [19] M. Khellah et al., Wordline & bitline pulsing schemes for improving SRAM cell stability in low-vcc 65nm CMOS designs, in Proc. Symp. VLSI Circuits, Jun. 2006, pp [20] K. Kushida et al., A 0.7 V single-supply SRAM with μm 2 cell in 65 nm technology utilizing self-write-back sense amplifier and cascaded bit line scheme, IEEE J. Solid-State Circuits, vol. 44, no. 4, pp , Apr [21] K. Takeda et al., A read-static-noise-margin-free SRAM cell for low- VDD and high-speed applications, IEEE J. Solid-State Circuits, vol. 41, no. 1, pp , Jan [22] T.-H. Kim, J. Liu, and C. H. Kim, A voltage scalable 0.26 V, 64 kb 8T SRAM with V min lowering techniques and deep sleep mode, IEEE J. Solid-State Circuits, vol. 44, no. 6, pp , Jun [23] R. Saeidi, M. Sharifkhani, and K. Hajsadeghi, A subthreshold symmetric SRAM cell with high read stability, IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 61, no. 1, pp , Jan [24] J.-J. Wu et al., Alargeσ V TH /VDD tolerant zigzag 8T SRAM with area-efficient decoupled differential sensing and fast write-back scheme, IEEE J. Solid-State Circuits, vol. 46, no. 4, pp , Apr [25] S. A. Verkila, S. K. Bondada, and B. S. Amrutur, A 100MHz to 1GHz, 0.35V to 1.5V supply SRAM block using symmetrized 9T SRAM cell with controlled read, in Proc. Conf. VLSI Design, Jan. 2008, pp [26] F. Abouzeid et al., Scalable 0.35 V to 1.2 V SRAM bitcell design from 65 nm CMOS to 28 nm FDSOI, IEEE J. Solid-State Circuits, vol. 49, no. 7, pp , Jul [27] Y.-W. Chiu et al., 40 nm bit-interleaving 12T subthreshold SRAM with data-aware write-assist, IEEETrans.CircuitsSyst.I,Reg.Papers, vol. 61, no. 9, pp , Sep [28] H.264/AVC JM Simulator, accessed on Feb [Online]. Available: [29] S. A. Pourbakhsh, X. Chen, D. Chen, X. Wang, N. Gong, and J. Wang, Sizing-priority based low-power embedded memory for mobile video applications, in Proc. Int. Symp. Quality Electron. Design (ISQED), Mar. 2016, pp [30] F. Sampaio, M. Shafique, B. Zatt, S. Bampi, and J. Henkel, Energyefficient architecture for advanced video memory, in Proc. IEEE/ACM Int. Conf. Comput.-Aided Design (ICCAD), Nov. 2014, pp [31] C.-C. Ju et al., A 0.5 nj/pixel 4 K H.265/HEVC codec LSI for multiformat smartphone applications, IEEE J. Solid-State Circuits, vol. 51, no. 1, pp , Jan [32] V. Sze, D. F. Finchelstein, M. E. Sinangil, and A. P. Chandrakasan, A 0.7-V 1.8-mW H.264/AVC 720p video decoder, IEEE J. Solid-State Circuits, vol. 44, no. 11, pp , Nov [33] C.-D. Chien et al., A 252kgate/71mW multi-standard multi-channel video decoder for high definition video applications, in IEEE Int. Solid-State Circuits Conf. (ISSCC) Dig. Tech. Papers, Feb. 2007, pp [34] M. Tikekar, C.-T. Huang, C. Juvekar, V. Sze, and A. P. Chandrakasan, A 249-Mpixel/s HEVC video-decoder chip for 4K ultra-hd applications, IEEE J. Solid-State Circuits, vol. 49, no. 1, pp , Jan [35] D. Zhou et al., A 4Gpixel/s 8/10b H.265/HEVC video decoder chip for 8K Ultra HD applications, in IEEE Int. Solid-State Circuits Conf. (ISSCC) Dig. Tech. Papers, Feb. 2016, pp

10 2634 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 25, NO. 9, SEPTEMBER 2017 [36] Hewlett-Packard Company, Palo Alto, CA, USA. CACTI, accessed on Jan [Online]. Available: com:9081/cacti/sram.y?new [37] D. Chen, J. Edstrom, X. Chen, W. Jin, J. Wang, and N. Gong, Datadriven low-cost on-chip memory with adaptive power-quality trade-off for mobile video streaming, in Proc. Int. Symp. Low Power Electron. Design (ISLPED), Aug. 2016, pp [38] H. Homayoun, A. Sasan, A. Veidenbaum, H.-C. Yao, S. Golshan, and P. Heydari, MZZ-HVS: Multiple sleep modes zig-zag horizontal and vertical sleep transistor sharing to reduce leakage power in on-chip SRAM peripheral circuits, IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 19, no. 12, pp , Dec Na Gong (M 13) received the B.E. degree in electrical engineering and the M.E. degree in microelectronics from Hebei University, Hebei, China, in 2004 and 2007, respectively, and the Ph.D. degree in computer science and engineering from the State University of New York, Buffalo, NY, USA, in She is currently an Assistant Professor of Electrical and Computer Engineering with North Dakota State University, Fargo, ND, USA. Her current research interests include energy-efficient sotrage systems, embedded systems, and approximate computing. Seyed Alireza Pourbakhsh received the B.E. degree in electrical engineering from the Sharif University of Technology, Tehran, Iran, in He is currently pursuing the master s degree in electrical engineering with North Dakota State University, Fargo, ND, USA. His current research interests include emerging memory technologies and 3-D IC. Xiaowei Chen received the B.E. degree in electrical engineering from the First Aviation Academy of Chinese Air Force, Changchun, China, in He is currently pursuing the Ph.D. degree in electrical and computer engineering with North Dakota State University, Fargo, ND, USA. His current research interests include emerging memory technologies. Xin Wang received the B.E. degree in electrical engineering from the Nanjing University of Aeronautics and Astronautics, Nanjing, China, in She is currently pursuing the master s degree in electrical engineering with North Dakota State University, Fargo, ND, USA. Her current research interests include video processing and energy-efficient very large scale integration design. Dongliang Chen (S 15) received the B.S. degree in electrical engineering from the Dalian University of Technology, Dalian, China, in He is currently pursuing the Ph.D. degree in electrical and computer engineering with North Dakota State University, Fargo, ND, USA. His current research interests include powerefficient mobile video streaming and embedded vision. Jinhui Wang (M 13) received the B.E. degree in electrical engineering from Hebei University, Hebei, China, in 2004, and the Ph.D. degree in electrical engineering through a joint USA/China program between the University of Rochester, Rochester, NY, USA, and the Beijing University of Technology, Beijing, China, in He is currently an Assistant Professor with the Department of Electrical and Computer Engineering, North Dakota State University, Fargo, ND, USA. He has authored more than 80 publications and holds 20 patents in the emerging semiconductor technologies. His current research interests include low power, high performance, and reliable integrated circuit design, 3-D IC and EDA methodologies, and thermal issue solution in very large scale integration.

Variation-and-Aging Aware Low Power embedded SRAM for Multimedia Applications

Variation-and-Aging Aware Low Power embedded SRAM for Multimedia Applications Variation-and-Aging Aware Low Power embedded SRAM for Multimedia Applications Na Gong, Shixiong Jiang, Anoosha Challapalli, Manpinder Panesar and Ramalingam Sridhar University at Buffalo, State University

More information

RECENTLY, the growing popularity of powerful mobile

RECENTLY, the growing popularity of powerful mobile IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 59, NO. 12, DECEMBER 2012 883 Ultra-Low Voltage Split-Data-Aware Embedded SRAM for Mobile Video Applications Na Gong, Shixiong Jiang,

More information

A Modified Static Contention Free Single Phase Clocked Flip-flop Design for Low Power Applications

A Modified Static Contention Free Single Phase Clocked Flip-flop Design for Low Power Applications JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.8, NO.5, OCTOBER, 08 ISSN(Print) 598-657 https://doi.org/57/jsts.08.8.5.640 ISSN(Online) -4866 A Modified Static Contention Free Single Phase Clocked

More information

Noise Margin in Low Power SRAM Cells

Noise Margin in Low Power SRAM Cells Noise Margin in Low Power SRAM Cells S. Cserveny, J. -M. Masgonty, C. Piguet CSEM SA, Neuchâtel, CH stefan.cserveny@csem.ch Abstract. Noise margin at read, at write and in stand-by is analyzed for the

More information

Fully Static and Compressed Topology Using Power Saving in Digital circuits for Reduced Transistor Flip flop

Fully Static and Compressed Topology Using Power Saving in Digital circuits for Reduced Transistor Flip flop Fully Static and Compressed Topology Using Power Saving in Digital circuits for Reduced Transistor Flip flop 1 S.Mounika & 2 P.Dhaneef Kumar 1 M.Tech, VLSIES, GVIC college, Madanapalli, mounikarani3333@gmail.com

More information

FRAME RATE BLOCK SELECTION APPROACH BASED DIGITAL WATER MARKING FOR EFFICIENT VIDEO AUTHENTICATION USING NETWORK CONDITIONS

FRAME RATE BLOCK SELECTION APPROACH BASED DIGITAL WATER MARKING FOR EFFICIENT VIDEO AUTHENTICATION USING NETWORK CONDITIONS FRAME RATE BLOCK SELECTION APPROACH BASED DIGITAL WATER MARKING FOR EFFICIENT VIDEO AUTHENTICATION USING NETWORK CONDITIONS A. Kirthika 1 and A. Senthilkumar 2 1 Department of Electronics and Communication

More information

An FPGA Implementation of Shift Register Using Pulsed Latches

An FPGA Implementation of Shift Register Using Pulsed Latches An FPGA Implementation of Shift Register Using Pulsed Latches Shiny Panimalar.S, T.Nisha Priscilla, Associate Professor, Department of ECE, MAMCET, Tiruchirappalli, India PG Scholar, Department of ECE,

More information

Design of a Low Power and Area Efficient Flip Flop With Embedded Logic Module

Design of a Low Power and Area Efficient Flip Flop With Embedded Logic Module IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 10, Issue 6, Ver. II (Nov - Dec.2015), PP 40-50 www.iosrjournals.org Design of a Low Power

More information

Low-Power and Area-Efficient Shift Register Using Pulsed Latches

Low-Power and Area-Efficient Shift Register Using Pulsed Latches Low-Power and Area-Efficient Shift Register Using Pulsed Latches G.Sunitha M.Tech, TKR CET. P.Venkatlavanya, M.Tech Associate Professor, TKR CET. Abstract: This paper proposes a low-power and area-efficient

More information

EFFICIENT DESIGN OF SHIFT REGISTER FOR AREA AND POWER REDUCTION USING PULSED LATCH

EFFICIENT DESIGN OF SHIFT REGISTER FOR AREA AND POWER REDUCTION USING PULSED LATCH EFFICIENT DESIGN OF SHIFT REGISTER FOR AREA AND POWER REDUCTION USING PULSED LATCH 1 Kalaivani.S, 2 Sathyabama.R 1 PG Scholar, 2 Professor/HOD Department of ECE, Government College of Technology Coimbatore,

More information

Design and analysis of RCA in Subthreshold Logic Circuits Using AFE

Design and analysis of RCA in Subthreshold Logic Circuits Using AFE Design and analysis of RCA in Subthreshold Logic Circuits Using AFE 1 MAHALAKSHMI M, 2 P.THIRUVALAR SELVAN PG Student, VLSI Design, Department of ECE, TRPEC, Trichy Abstract: The present scenario of the

More information

A CYCLES/MB H.264/AVC MOTION COMPENSATION ARCHITECTURE FOR QUAD-HD APPLICATIONS

A CYCLES/MB H.264/AVC MOTION COMPENSATION ARCHITECTURE FOR QUAD-HD APPLICATIONS 9th European Signal Processing Conference (EUSIPCO 2) Barcelona, Spain, August 29 - September 2, 2 A 6-65 CYCLES/MB H.264/AVC MOTION COMPENSATION ARCHITECTURE FOR QUAD-HD APPLICATIONS Jinjia Zhou, Dajiang

More information

Reduction of Area and Power of Shift Register Using Pulsed Latches

Reduction of Area and Power of Shift Register Using Pulsed Latches I J C T A, 9(13) 2016, pp. 6229-6238 International Science Press Reduction of Area and Power of Shift Register Using Pulsed Latches Md Asad Eqbal * & S. Yuvaraj ** ABSTRACT The timing element and clock

More information

Abstract 1. INTRODUCTION. Cheekati Sirisha, IJECS Volume 05 Issue 10 Oct., 2016 Page No Page 18532

Abstract 1. INTRODUCTION. Cheekati Sirisha, IJECS Volume 05 Issue 10 Oct., 2016 Page No Page 18532 www.ijecs.in International Journal Of Engineering And Computer Science ISSN: 2319-7242 Volume 5 Issue 10 Oct. 2016, Page No. 18532-18540 Pulsed Latches Methodology to Attain Reduced Power and Area Based

More information

An Efficient Reduction of Area in Multistandard Transform Core

An Efficient Reduction of Area in Multistandard Transform Core An Efficient Reduction of Area in Multistandard Transform Core A. Shanmuga Priya 1, Dr. T. K. Shanthi 2 1 PG scholar, Applied Electronics, Department of ECE, 2 Assosiate Professor, Department of ECE Thanthai

More information

DIFFERENTIAL CONDITIONAL CAPTURING FLIP-FLOP TECHNIQUE USED FOR LOW POWER CONSUMPTION IN CLOCKING SCHEME

DIFFERENTIAL CONDITIONAL CAPTURING FLIP-FLOP TECHNIQUE USED FOR LOW POWER CONSUMPTION IN CLOCKING SCHEME DIFFERENTIAL CONDITIONAL CAPTURING FLIP-FLOP TECHNIQUE USED FOR LOW POWER CONSUMPTION IN CLOCKING SCHEME Mr.N.Vetriselvan, Assistant Professor, Dhirajlal Gandhi College of Technology Mr.P.N.Palanisamy,

More information

FP 12.4: A CMOS Scheme for 0.5V Supply Voltage with Pico-Ampere Standby Current

FP 12.4: A CMOS Scheme for 0.5V Supply Voltage with Pico-Ampere Standby Current FP 12.4: A CMOS Scheme for 0.5V Supply Voltage with Pico-Ampere Standby Current Hiroshi Kawaguchi, Ko-ichi Nose, Takayasu Sakurai University of Tokyo, Tokyo, Japan Recently, low-power requirements are

More information

An Efficient Low Bit-Rate Video-Coding Algorithm Focusing on Moving Regions

An Efficient Low Bit-Rate Video-Coding Algorithm Focusing on Moving Regions 1128 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS FOR VIDEO TECHNOLOGY, VOL. 11, NO. 10, OCTOBER 2001 An Efficient Low Bit-Rate Video-Coding Algorithm Focusing on Moving Regions Kwok-Wai Wong, Kin-Man Lam,

More information

A Low-Power 0.7-V H p Video Decoder

A Low-Power 0.7-V H p Video Decoder A Low-Power 0.7-V H.264 720p Video Decoder D. Finchelstein, V. Sze, M.E. Sinangil, Y. Koken, A.P. Chandrakasan A-SSCC 2008 Outline Motivation for low-power video decoders Low-power techniques pipelining

More information

An Efficient Power Saving Latch Based Flip- Flop Design for Low Power Applications

An Efficient Power Saving Latch Based Flip- Flop Design for Low Power Applications An Efficient Power Saving Latch Based Flip- Flop Design for Low Power Applications N.KIRAN 1, K.AMARNATH 2 1 P.G Student, VRS & YRN College of Engineering & Technology, Vodarevu Road, Chirala 2 HOD & Professor,

More information

Figure.1 Clock signal II. SYSTEM ANALYSIS

Figure.1 Clock signal II. SYSTEM ANALYSIS International Journal of Advances in Engineering, 2015, 1(4), 518-522 ISSN: 2394-9260 (printed version); ISSN: 2394-9279 (online version); url:http://www.ijae.in RESEARCH ARTICLE Multi bit Flip-Flop Grouping

More information

LUT Optimization for Memory Based Computation using Modified OMS Technique

LUT Optimization for Memory Based Computation using Modified OMS Technique LUT Optimization for Memory Based Computation using Modified OMS Technique Indrajit Shankar Acharya & Ruhan Bevi Dept. of ECE, SRM University, Chennai, India E-mail : indrajitac123@gmail.com, ruhanmady@yahoo.co.in

More information

DESIGN AND SIMULATION OF A CIRCUIT TO PREDICT AND COMPENSATE PERFORMANCE VARIABILITY IN SUBMICRON CIRCUIT

DESIGN AND SIMULATION OF A CIRCUIT TO PREDICT AND COMPENSATE PERFORMANCE VARIABILITY IN SUBMICRON CIRCUIT DESIGN AND SIMULATION OF A CIRCUIT TO PREDICT AND COMPENSATE PERFORMANCE VARIABILITY IN SUBMICRON CIRCUIT Sripriya. B.R, Student of M.tech, Dept of ECE, SJB Institute of Technology, Bangalore Dr. Nataraj.

More information

Low Power High Speed Voltage Level Shifter for Sub- Threshold Operations

Low Power High Speed Voltage Level Shifter for Sub- Threshold Operations International Journal of Innovative Research in Electronics and Communications (IJIREC) Volume 1, Issue 5, August 2014, PP 34-41 ISSN 2349-4042 (Print) & ISSN 2349-4050 (Online) www.arcjournals.org Low

More information

HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP

HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP 1 R.Ramya, 2 C.Hamsaveni 1,2 PG Scholar, Department of ECE, Hindusthan Institute Of Technology,

More information

P.Akila 1. P a g e 60

P.Akila 1. P a g e 60 Designing Clock System Using Power Optimization Techniques in Flipflop P.Akila 1 Assistant Professor-I 2 Department of Electronics and Communication Engineering PSR Rengasamy college of engineering for

More information

Power Optimization by Using Multi-Bit Flip-Flops

Power Optimization by Using Multi-Bit Flip-Flops Volume-4, Issue-5, October-2014, ISSN No.: 2250-0758 International Journal of Engineering and Management Research Page Number: 194-198 Power Optimization by Using Multi-Bit Flip-Flops D. Hazinayab 1, K.

More information

IN DIGITAL transmission systems, there are always scramblers

IN DIGITAL transmission systems, there are always scramblers 558 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 7, JULY 2006 Parallel Scrambler for High-Speed Applications Chih-Hsien Lin, Chih-Ning Chen, You-Jiun Wang, Ju-Yuan Hsiao,

More information

International Journal of Engineering Trends and Technology (IJETT) - Volume4 Issue8- August 2013

International Journal of Engineering Trends and Technology (IJETT) - Volume4 Issue8- August 2013 International Journal of Engineering Trends and Technology (IJETT) - Volume4 Issue8- August 2013 Design and Implementation of an Enhanced LUT System in Security Based Computation dama.dhanalakshmi 1, K.Annapurna

More information

DESIGN OF A NEW MODIFIED CLOCK GATED SENSE-AMPLIFIER FLIP-FLOP

DESIGN OF A NEW MODIFIED CLOCK GATED SENSE-AMPLIFIER FLIP-FLOP DESIGN OF A NEW MODIFIED CLOCK GATED SENSE-AMPLIFIER FLIP-FLOP P.MANIKANTA, DR. R. RAMANA REDDY ABSTRACT In this paper a new modified explicit-pulsed clock gated sense-amplifier flip-flop (MCG-SAFF) is

More information

Arithmetic Unit Based Reconfigurable Approximation Technique for Video Encoding

Arithmetic Unit Based Reconfigurable Approximation Technique for Video Encoding Arithmetic Unit Based Reconfigurable Approximation Technique for Video Encoding J.Jayakodi 1*, K.Sagadevan 2 1 ECE (Final year) IFET college of engineering, India. 2 Senior Assistant Professor, Department

More information

POWER AND AREA EFFICIENT LFSR WITH PULSED LATCHES

POWER AND AREA EFFICIENT LFSR WITH PULSED LATCHES Volume 115 No. 7 2017, 447-452 ISSN: 1311-8080 (printed version); ISSN: 1314-3395 (on-line version) url: http://www.ijpam.eu ijpam.eu POWER AND AREA EFFICIENT LFSR WITH PULSED LATCHES K Hari Kishore 1,

More information

Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register

Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register International Journal for Modern Trends in Science and Technology Volume: 02, Issue No: 10, October 2016 http://www.ijmtst.com ISSN: 2455-3778 Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift

More information

MEMORY ERROR COMPENSATION TECHNIQUES FOR JPEG2000. Yunus Emre and Chaitali Chakrabarti

MEMORY ERROR COMPENSATION TECHNIQUES FOR JPEG2000. Yunus Emre and Chaitali Chakrabarti MEMORY ERROR COMPENSATION TECHNIQUES FOR JPEG2000 Yunus Emre and Chaitali Chakrabarti School of Electrical, Computer and Energy Engineering Arizona State University, Tempe, AZ 85287 {yemre,chaitali}@asu.edu

More information

DESIGN OF DOUBLE PULSE TRIGGERED FLIP-FLOP BASED ON SIGNAL FEED THROUGH SCHEME

DESIGN OF DOUBLE PULSE TRIGGERED FLIP-FLOP BASED ON SIGNAL FEED THROUGH SCHEME Scientific Journal Impact Factor (SJIF): 1.711 e-issn: 2349-9745 p-issn: 2393-8161 International Journal of Modern Trends in Engineering and Research www.ijmter.com DESIGN OF DOUBLE PULSE TRIGGERED FLIP-FLOP

More information

VLSI Design: 3) Explain the various MOSFET Capacitances & their significance. 4) Draw a CMOS Inverter. Explain its transfer characteristics

VLSI Design: 3) Explain the various MOSFET Capacitances & their significance. 4) Draw a CMOS Inverter. Explain its transfer characteristics 1) Explain why & how a MOSFET works VLSI Design: 2) Draw Vds-Ids curve for a MOSFET. Now, show how this curve changes (a) with increasing Vgs (b) with increasing transistor width (c) considering Channel

More information

PERFORMANCE ANALYSIS OF AN EFFICIENT PULSE-TRIGGERED FLIP FLOPS FOR ULTRA LOW POWER APPLICATIONS

PERFORMANCE ANALYSIS OF AN EFFICIENT PULSE-TRIGGERED FLIP FLOPS FOR ULTRA LOW POWER APPLICATIONS Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology ISSN 2320 088X IMPACT FACTOR: 5.258 IJCSMC,

More information

LUT OPTIMIZATION USING COMBINED APC-OMS TECHNIQUE

LUT OPTIMIZATION USING COMBINED APC-OMS TECHNIQUE LUT OPTIMIZATION USING COMBINED APC-OMS TECHNIQUE S.Basi Reddy* 1, K.Sreenivasa Rao 2 1 M.Tech Student, VLSI System Design, Annamacharya Institute of Technology & Sciences (Autonomous), Rajampet (A.P),

More information

A Low Power Delay Buffer Using Gated Driver Tree

A Low Power Delay Buffer Using Gated Driver Tree IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) ISSN: 2319 4200, ISBN No. : 2319 4197 Volume 1, Issue 4 (Nov. - Dec. 2012), PP 26-30 A Low Power Delay Buffer Using Gated Driver Tree Kokkilagadda

More information

Constant Bit Rate for Video Streaming Over Packet Switching Networks

Constant Bit Rate for Video Streaming Over Packet Switching Networks International OPEN ACCESS Journal Of Modern Engineering Research (IJMER) Constant Bit Rate for Video Streaming Over Packet Switching Networks Mr. S. P.V Subba rao 1, Y. Renuka Devi 2 Associate professor

More information

Modified Ultra-Low Power NAND Based Multiplexer and Flip-Flop

Modified Ultra-Low Power NAND Based Multiplexer and Flip-Flop IJSTE - International Journal of Science Technology & Engineering Volume 2 Issue 06 December 2015 ISSN (online): 2349-784X Modified Ultra-Low Power NAND Based Multiplexer and Flip-Flop Amit Saraswat Chanpreet

More information

REDUCING DYNAMIC POWER BY PULSED LATCH AND MULTIPLE PULSE GENERATOR IN CLOCKTREE

REDUCING DYNAMIC POWER BY PULSED LATCH AND MULTIPLE PULSE GENERATOR IN CLOCKTREE Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 3, Issue. 5, May 2014, pg.210

More information

Fast MBAFF/PAFF Motion Estimation and Mode Decision Scheme for H.264

Fast MBAFF/PAFF Motion Estimation and Mode Decision Scheme for H.264 Fast MBAFF/PAFF Motion Estimation and Mode Decision Scheme for H.264 Ju-Heon Seo, Sang-Mi Kim, Jong-Ki Han, Nonmember Abstract-- In the H.264, MBAFF (Macroblock adaptive frame/field) and PAFF (Picture

More information

Use of Low Power DET Address Pointer Circuit for FIFO Memory Design

Use of Low Power DET Address Pointer Circuit for FIFO Memory Design International Journal of Education and Science Research Review Use of Low Power DET Address Pointer Circuit for FIFO Memory Design Harpreet M.Tech Scholar PPIMT Hisar Supriya Bhutani Assistant Professor

More information

WITH the rapid development of high-fidelity video services

WITH the rapid development of high-fidelity video services 896 IEEE SIGNAL PROCESSING LETTERS, VOL. 22, NO. 7, JULY 2015 An Efficient Frame-Content Based Intra Frame Rate Control for High Efficiency Video Coding Miaohui Wang, Student Member, IEEE, KingNgiNgan,

More information

Feasibility Study of Stochastic Streaming with 4K UHD Video Traces

Feasibility Study of Stochastic Streaming with 4K UHD Video Traces Feasibility Study of Stochastic Streaming with 4K UHD Video Traces Joongheon Kim and Eun-Seok Ryu Platform Engineering Group, Intel Corporation, Santa Clara, California, USA Department of Computer Engineering,

More information

Skip Length and Inter-Starvation Distance as a Combined Metric to Assess the Quality of Transmitted Video

Skip Length and Inter-Starvation Distance as a Combined Metric to Assess the Quality of Transmitted Video Skip Length and Inter-Starvation Distance as a Combined Metric to Assess the Quality of Transmitted Video Mohamed Hassan, Taha Landolsi, Husameldin Mukhtar, and Tamer Shanableh College of Engineering American

More information

FAST SPATIAL AND TEMPORAL CORRELATION-BASED REFERENCE PICTURE SELECTION

FAST SPATIAL AND TEMPORAL CORRELATION-BASED REFERENCE PICTURE SELECTION FAST SPATIAL AND TEMPORAL CORRELATION-BASED REFERENCE PICTURE SELECTION 1 YONGTAE KIM, 2 JAE-GON KIM, and 3 HAECHUL CHOI 1, 3 Hanbat National University, Department of Multimedia Engineering 2 Korea Aerospace

More information

WITH the demand of higher video quality, lower bit

WITH the demand of higher video quality, lower bit IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS FOR VIDEO TECHNOLOGY, VOL. 16, NO. 8, AUGUST 2006 917 A High-Definition H.264/AVC Intra-Frame Codec IP for Digital Video and Still Camera Applications Chun-Wei

More information

EFFICIENT POWER REDUCTION OF TOPOLOGICALLY COMPRESSED FLIP-FLOP AND GDI BASED FLIP FLOP

EFFICIENT POWER REDUCTION OF TOPOLOGICALLY COMPRESSED FLIP-FLOP AND GDI BASED FLIP FLOP EFFICIENT POWER REDUCTION OF TOPOLOGICALLY COMPRESSED FLIP-FLOP AND GDI BASED FLIP FLOP S.BANUPRIYA 1, R.GOWSALYA 2, M.KALEESWARI 3, B.DHANAM 4 1, 2, 3 UG Scholar, 4 Asst.Professor/ECE 1, 2, 3, 4 P.S.R.RENGASAMY

More information

Visual Communication at Limited Colour Display Capability

Visual Communication at Limited Colour Display Capability Visual Communication at Limited Colour Display Capability Yan Lu, Wen Gao and Feng Wu Abstract: A novel scheme for visual communication by means of mobile devices with limited colour display capability

More information

Express Letters. A Novel Four-Step Search Algorithm for Fast Block Motion Estimation

Express Letters. A Novel Four-Step Search Algorithm for Fast Block Motion Estimation IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS FOR VIDEO TECHNOLOGY, VOL. 6, NO. 3, JUNE 1996 313 Express Letters A Novel Four-Step Search Algorithm for Fast Block Motion Estimation Lai-Man Po and Wing-Chung

More information

Gated Driver Tree Based Power Optimized Multi-Bit Flip-Flops

Gated Driver Tree Based Power Optimized Multi-Bit Flip-Flops International Journal of Emerging Engineering Research and Technology Volume 2, Issue 4, July 2014, PP 250-254 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) Gated Driver Tree Based Power Optimized Multi-Bit

More information

International Journal of Emerging Technologies in Computational and Applied Sciences (IJETCAS)

International Journal of Emerging Technologies in Computational and Applied Sciences (IJETCAS) International Association of Scientific Innovation and Research (IASIR) (An Association Unifying the Sciences, Engineering, and Applied Research) International Journal of Emerging Technologies in Computational

More information

Low Power D Flip Flop Using Static Pass Transistor Logic

Low Power D Flip Flop Using Static Pass Transistor Logic Low Power D Flip Flop Using Static Pass Transistor Logic 1 T.SURIYA PRABA, 2 R.MURUGASAMI PG SCHOLAR, NANDHA ENGINEERING COLLEGE, ERODE, INDIA Abstract: Minimizing power consumption is vitally important

More information

Design Low-Power and Area-Efficient Shift Register using SSASPL Pulsed Latch

Design Low-Power and Area-Efficient Shift Register using SSASPL Pulsed Latch Design Low-Power and Area-Efficient Shift Register using SSASPL Pulsed Latch 1 D. Sandhya Rani, 2 Maddana, 1 PG Scholar, Dept of VLSI System Design, Geetanjali college of engineering & technology, 2 Hod

More information

A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY

A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY Ms. Chaitali V. Matey 1, Ms. Shraddha K. Mendhe 2, Mr. Sandip A.

More information

Design of a High Frequency Dual Modulus Prescaler using Efficient TSPC Flip Flop using 180nm Technology

Design of a High Frequency Dual Modulus Prescaler using Efficient TSPC Flip Flop using 180nm Technology Design of a High Frequency Dual Modulus Prescaler using Efficient TSPC Flip Flop using 180nm Technology Divya shree.m 1, H. Venkatesh kumar 2 PG Student, Dept. of ECE, Nagarjuna College of Engineering

More information

ALONG with the progressive device scaling, semiconductor

ALONG with the progressive device scaling, semiconductor IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 57, NO. 4, APRIL 2010 285 LUT Optimization for Memory-Based Computation Pramod Kumar Meher, Senior Member, IEEE Abstract Recently, we

More information

A Symmetric Differential Clock Generator for Bit-Serial Hardware

A Symmetric Differential Clock Generator for Bit-Serial Hardware A Symmetric Differential Clock Generator for Bit-Serial Hardware Mitchell J. Myjak and José G. Delgado-Frias School of Electrical Engineering and Computer Science Washington State University Pullman, WA,

More information

Dual Edge Adaptive Pulse Triggered Flip-Flop for a High Speed and Low Power Applications

Dual Edge Adaptive Pulse Triggered Flip-Flop for a High Speed and Low Power Applications International Journal of Scientific and Research Publications, Volume 5, Issue 10, October 2015 1 Dual Edge Adaptive Pulse Triggered Flip-Flop for a High Speed and Low Power Applications S. Harish*, Dr.

More information

Design of Memory Based Implementation Using LUT Multiplier

Design of Memory Based Implementation Using LUT Multiplier Design of Memory Based Implementation Using LUT Multiplier Charan Kumar.k 1, S. Vikrama Narasimha Reddy 2, Neelima Koppala 3 1,2 M.Tech(VLSI) Student, 3 Assistant Professor, ECE Department, Sree Vidyanikethan

More information

Comparative Analysis of Pulsed Latch and Flip-Flop based Shift Registers for High-Performance and Low-Power Systems

Comparative Analysis of Pulsed Latch and Flip-Flop based Shift Registers for High-Performance and Low-Power Systems IJECT Vo l. 7, Is s u e 2, Ap r i l - Ju n e 2016 ISSN : 2230-7109 (Online) ISSN : 2230-9543 (Print) Comparative Analysis of Pulsed Latch and Flip-Flop based Shift Registers for High-Performance and Low-Power

More information

PHASE-LOCKED loops (PLLs) are widely used in many

PHASE-LOCKED loops (PLLs) are widely used in many IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 52, NO. 5, MAY 2005 233 A Portable Digitally Controlled Oscillator Using Novel Varactors Pao-Lung Chen, Ching-Che Chung, and Chen-Yi Lee

More information

Using Embedded Dynamic Random Access Memory to Reduce Energy Consumption of Magnetic Recording Read Channel

Using Embedded Dynamic Random Access Memory to Reduce Energy Consumption of Magnetic Recording Read Channel IEEE TRANSACTIONS ON MAGNETICS, VOL. 46, NO. 1, JANUARY 2010 87 Using Embedded Dynamic Random Access Memory to Reduce Energy Consumption of Magnetic Recording Read Channel Ningde Xie 1, Tong Zhang 1, and

More information

AN EFFICIENT LOW POWER DESIGN FOR ASYNCHRONOUS DATA SAMPLING IN DOUBLE EDGE TRIGGERED FLIP-FLOPS

AN EFFICIENT LOW POWER DESIGN FOR ASYNCHRONOUS DATA SAMPLING IN DOUBLE EDGE TRIGGERED FLIP-FLOPS AN EFFICIENT LOW POWER DESIGN FOR ASYNCHRONOUS DATA SAMPLING IN DOUBLE EDGE TRIGGERED FLIP-FLOPS NINU ABRAHAM 1, VINOJ P.G 2 1 P.G Student [VLSI & ES], SCMS School of Engineering & Technology, Cochin,

More information

PICOSECOND TIMING USING FAST ANALOG SAMPLING

PICOSECOND TIMING USING FAST ANALOG SAMPLING PICOSECOND TIMING USING FAST ANALOG SAMPLING H. Frisch, J-F Genat, F. Tang, EFI Chicago, Tuesday 6 th Nov 2007 INTRODUCTION In the context of picosecond timing, analog detector pulse sampling in the 10

More information

OBJECT-BASED IMAGE COMPRESSION WITH SIMULTANEOUS SPATIAL AND SNR SCALABILITY SUPPORT FOR MULTICASTING OVER HETEROGENEOUS NETWORKS

OBJECT-BASED IMAGE COMPRESSION WITH SIMULTANEOUS SPATIAL AND SNR SCALABILITY SUPPORT FOR MULTICASTING OVER HETEROGENEOUS NETWORKS OBJECT-BASED IMAGE COMPRESSION WITH SIMULTANEOUS SPATIAL AND SNR SCALABILITY SUPPORT FOR MULTICASTING OVER HETEROGENEOUS NETWORKS Habibollah Danyali and Alfred Mertins School of Electrical, Computer and

More information

Modifying the Scan Chains in Sequential Circuit to Reduce Leakage Current

Modifying the Scan Chains in Sequential Circuit to Reduce Leakage Current IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 3, Issue 1 (Sep. Oct. 2013), PP 01-09 e-issn: 2319 4200, p-issn No. : 2319 4197 Modifying the Scan Chains in Sequential Circuit to Reduce Leakage

More information

A High Performance Deblocking Filter Hardware for High Efficiency Video Coding

A High Performance Deblocking Filter Hardware for High Efficiency Video Coding 714 IEEE Transactions on Consumer Electronics, Vol. 59, No. 3, August 2013 A High Performance Deblocking Filter Hardware for High Efficiency Video Coding Erdem Ozcan, Yusuf Adibelli, Ilker Hamzaoglu, Senior

More information

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL Random Access Scan Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL ramamve@auburn.edu Term Paper for ELEC 7250 (Spring 2005) Abstract: Random Access

More information

Dual-V DD and Input Reordering for Reduced Delay and Subthreshold Leakage in Pass Transistor Logic

Dual-V DD and Input Reordering for Reduced Delay and Subthreshold Leakage in Pass Transistor Logic Dual-V DD and Input Reordering for Reduced Delay and Subthreshold Leakage in Pass Transistor Logic Jeff Brantley and Sam Ridenour ECE 6332 Fall 21 University of Virginia @virginia.edu ABSTRACT

More information

A Power Efficient Flip Flop by using 90nm Technology

A Power Efficient Flip Flop by using 90nm Technology A Power Efficient Flip Flop by using 90nm Technology Mrs. Y. Lavanya Associate Professor, ECE Department, Ramachandra College of Engineering, Eluru, W.G (Dt.), A.P, India. Email: lavanya.rcee@gmail.com

More information

ISSN Vol.08,Issue.24, December-2016, Pages:

ISSN Vol.08,Issue.24, December-2016, Pages: ISSN 2348 2370 Vol.08,Issue.24, December-2016, Pages:4666-4671 www.ijatir.org Design and Analysis of Shift Register using Pulse Triggered Latches N. NEELUFER 1, S. RAMANJI NAIK 2, B. SURESH BABU 3 1 PG

More information

Reduction of Clock Power in Sequential Circuits Using Multi-Bit Flip-Flops

Reduction of Clock Power in Sequential Circuits Using Multi-Bit Flip-Flops Reduction of Clock Power in Sequential Circuits Using Multi-Bit Flip-Flops A.Abinaya *1 and V.Priya #2 * M.E VLSI Design, ECE Dept, M.Kumarasamy College of Engineering, Karur, Tamilnadu, India # M.E VLSI

More information

Color Image Compression Using Colorization Based On Coding Technique

Color Image Compression Using Colorization Based On Coding Technique Color Image Compression Using Colorization Based On Coding Technique D.P.Kawade 1, Prof. S.N.Rawat 2 1,2 Department of Electronics and Telecommunication, Bhivarabai Sawant Institute of Technology and Research

More information

A video signal processor for motioncompensated field-rate upconversion in consumer television

A video signal processor for motioncompensated field-rate upconversion in consumer television A video signal processor for motioncompensated field-rate upconversion in consumer television B. De Loore, P. Lippens, P. Eeckhout, H. Huijgen, A. Löning, B. McSweeney, M. Verstraelen, B. Pham, G. de Haan,

More information

Operating Bio-Implantable Devices in Ultra-Low Power Error Correction Circuits: using optimized ACS Viterbi decoder

Operating Bio-Implantable Devices in Ultra-Low Power Error Correction Circuits: using optimized ACS Viterbi decoder Operating Bio-Implantable Devices in Ultra-Low Power Error Correction Circuits: using optimized ACS Viterbi decoder Roshini R, Udhaya Kumar C, Muthumani D Abstract Although many different low-power Error

More information

Selective Intra Prediction Mode Decision for H.264/AVC Encoders

Selective Intra Prediction Mode Decision for H.264/AVC Encoders Selective Intra Prediction Mode Decision for H.264/AVC Encoders Jun Sung Park, and Hyo Jung Song Abstract H.264/AVC offers a considerably higher improvement in coding efficiency compared to other compression

More information

Reduced complexity MPEG2 video post-processing for HD display

Reduced complexity MPEG2 video post-processing for HD display Downloaded from orbit.dtu.dk on: Dec 17, 2017 Reduced complexity MPEG2 video post-processing for HD display Virk, Kamran; Li, Huiying; Forchhammer, Søren Published in: IEEE International Conference on

More information

data and is used in digital networks and storage devices. CRC s are easy to implement in binary

data and is used in digital networks and storage devices. CRC s are easy to implement in binary Introduction Cyclic redundancy check (CRC) is an error detecting code designed to detect changes in transmitted data and is used in digital networks and storage devices. CRC s are easy to implement in

More information

THE USE OF forward error correction (FEC) in optical networks

THE USE OF forward error correction (FEC) in optical networks IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 52, NO. 8, AUGUST 2005 461 A High-Speed Low-Complexity Reed Solomon Decoder for Optical Communications Hanho Lee, Member, IEEE Abstract

More information

LOW POWER AND AREA-EFFICIENT SHIFT REGISTER USING PULSED LATCHES

LOW POWER AND AREA-EFFICIENT SHIFT REGISTER USING PULSED LATCHES LOW POWER AND AREA-EFFICIENT SHIFT REGISTER USING PULSED LATCHES Mr. Nat Raj M.Tech., (Ph.D) Associate Professor ECE Department ST.Mary s College Of Engineering and Technology(Formerly ASEC),Patancheru

More information

Bit Rate Control for Video Transmission Over Wireless Networks

Bit Rate Control for Video Transmission Over Wireless Networks Indian Journal of Science and Technology, Vol 9(S), DOI: 0.75/ijst/06/v9iS/05, December 06 ISSN (Print) : 097-686 ISSN (Online) : 097-5 Bit Rate Control for Video Transmission Over Wireless Networks K.

More information

Power Optimization of Linear Feedback Shift Register (LFSR) using Power Gating

Power Optimization of Linear Feedback Shift Register (LFSR) using Power Gating Power Optimization of Linear Feedback Shift Register (LFSR) using Rebecca Angela Fernandes 1, Niju Rajan 2 1Student, Dept. of E&C Engineering, N.M.A.M Institute of Technology, Karnataka, India 2Assistant

More information

The Multistandard Full Hd Video-Codec Engine On Low Power Devices

The Multistandard Full Hd Video-Codec Engine On Low Power Devices The Multistandard Full Hd Video-Codec Engine On Low Power Devices B.Susma (M. Tech). Embedded Systems. Aurora s Technological & Research Institute. Hyderabad. B.Srinivas Asst. professor. ECE, Aurora s

More information

Interframe Bus Encoding Technique for Low Power Video Compression

Interframe Bus Encoding Technique for Low Power Video Compression Interframe Bus Encoding Technique for Low Power Video Compression Asral Bahari, Tughrul Arslan and Ahmet T. Erdogan School of Engineering and Electronics, University of Edinburgh United Kingdom Email:

More information

Improve Performance of Low-Power Clock Branch Sharing Double-Edge Triggered Flip-Flop

Improve Performance of Low-Power Clock Branch Sharing Double-Edge Triggered Flip-Flop Sumant Kumar et al. 2016, Volume 4 Issue 1 ISSN (Online): 2348-4098 ISSN (Print): 2395-4752 International Journal of Science, Engineering and Technology An Open Access Journal Improve Performance of Low-Power

More information

Design and Implementation of LUT Optimization DSP Techniques

Design and Implementation of LUT Optimization DSP Techniques Design and Implementation of LUT Optimization DSP Techniques 1 D. Srinivasa rao & 2 C. Amala 1 M.Tech Research Scholar, Priyadarshini Institute of Technology & Science, Chintalapudi 2 Associate Professor,

More information

Robust 3-D Video System Based on Modified Prediction Coding and Adaptive Selection Mode Error Concealment Algorithm

Robust 3-D Video System Based on Modified Prediction Coding and Adaptive Selection Mode Error Concealment Algorithm International Journal of Signal Processing Systems Vol. 2, No. 2, December 2014 Robust 3-D Video System Based on Modified Prediction Coding and Adaptive Selection Mode Error Concealment Algorithm Walid

More information

ANALYSIS OF POWER REDUCTION IN 2 TO 4 LINE DECODER DESIGN USING GATE DIFFUSION INPUT TECHNIQUE

ANALYSIS OF POWER REDUCTION IN 2 TO 4 LINE DECODER DESIGN USING GATE DIFFUSION INPUT TECHNIQUE ANALYSIS OF POWER REDUCTION IN 2 TO 4 LINE DECODER DESIGN USING GATE DIFFUSION INPUT TECHNIQUE *Pranshu Sharma, **Anjali Sharma * Assistant Professor, Department of ECE AP Goyal Shimla University, Shimla,

More information

A Novel Macroblock-Level Filtering Upsampling Architecture for H.264/AVC Scalable Extension

A Novel Macroblock-Level Filtering Upsampling Architecture for H.264/AVC Scalable Extension 05-Silva-AF:05-Silva-AF 8/19/11 6:18 AM Page 43 A Novel Macroblock-Level Filtering Upsampling Architecture for H.264/AVC Scalable Extension T. L. da Silva 1, L. A. S. Cruz 2, and L. V. Agostini 3 1 Telecommunications

More information

Design of a Fast Multi-Reference Frame Integer Motion Estimator for H.264/AVC

Design of a Fast Multi-Reference Frame Integer Motion Estimator for H.264/AVC http://dx.doi.org/10.5573/jsts.2013.13.5.430 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.13, NO.5, OCTOBER, 2013 Design of a Fast Multi-Reference Frame Integer Motion Estimator for H.264/AVC Juwon

More information

Optimization of memory based multiplication for LUT

Optimization of memory based multiplication for LUT Optimization of memory based multiplication for LUT V. Hari Krishna *, N.C Pant ** * Guru Nanak Institute of Technology, E.C.E Dept., Hyderabad, India ** Guru Nanak Institute of Technology, Prof & Head,

More information

A High Performance VLSI Architecture with Half Pel and Quarter Pel Interpolation for A Single Frame

A High Performance VLSI Architecture with Half Pel and Quarter Pel Interpolation for A Single Frame I J C T A, 9(34) 2016, pp. 673-680 International Science Press A High Performance VLSI Architecture with Half Pel and Quarter Pel Interpolation for A Single Frame K. Priyadarshini 1 and D. Jackuline Moni

More information

Power Optimization Techniques for Sequential Elements Using Pulse Triggered Flip-Flops with SVL Logic

Power Optimization Techniques for Sequential Elements Using Pulse Triggered Flip-Flops with SVL Logic IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) ISSN: 2319 4200, ISBN No. : 2319 4197 Volume 1, Issue 4 (Nov. - Dec. 2012), PP 31-36 Power Optimization Techniques for Sequential Elements Using Pulse

More information

Combining Dual-Supply, Dual-Threshold and Transistor Sizing for Power Reduction

Combining Dual-Supply, Dual-Threshold and Transistor Sizing for Power Reduction Combining Dual-Supply, Dual-Threshold and Transistor Sizing for Reduction Stephanie Augsburger 1, Borivoje Nikolić 2 1 Intel Corporation, Enterprise Processors Division, Santa Clara, CA, USA. 2 Department

More information

Memory interface design for AVS HD video encoder with Level C+ coding order

Memory interface design for AVS HD video encoder with Level C+ coding order LETTER IEICE Electronics Express, Vol.14, No.12, 1 11 Memory interface design for AVS HD video encoder with Level C+ coding order Xiaofeng Huang 1a), Kaijin Wei 2, Guoqing Xiang 2, Huizhu Jia 2, and Don

More information

University College of Engineering, JNTUK, Kakinada, India Member of Technical Staff, Seerakademi, Hyderabad

University College of Engineering, JNTUK, Kakinada, India Member of Technical Staff, Seerakademi, Hyderabad Power Analysis of Sequential Circuits Using Multi- Bit Flip Flops Yarramsetti Ramya Lakshmi 1, Dr. I. Santi Prabha 2, R.Niranjan 3 1 M.Tech, 2 Professor, Dept. of E.C.E. University College of Engineering,

More information

Efficient Architecture for Flexible Prescaler Using Multimodulo Prescaler

Efficient Architecture for Flexible Prescaler Using Multimodulo Prescaler Efficient Architecture for Flexible Using Multimodulo G SWETHA, S YUVARAJ Abstract This paper, An Efficient Architecture for Flexible Using Multimodulo is an architecture which is designed from the proposed

More information