Chapter 29 Analog Digital Converter (ADC)

Size: px
Start display at page:

Download "Chapter 29 Analog Digital Converter (ADC)"

Transcription

1 Chapter 29 Analog Digital Converter (ADC) 29.1 Introduction The analog-to-digital (ADC) converter block consists of two separate analog to digital converters, each with four analog inputs and their own sample and hold (S/H) circuit. A common digital control module configures and controls the converters. The module is instantiated as a dual 12-bit ADC with both converters sharing a common voltage reference and control block. This is illustrated in Figure Features ADC characteristics include: 12-bit resolution Maximum ADC clock frequency is 10 MHz with 100 ns period Sampling rate up to 4 million samples per second 1 Single conversion time of 8.5 ADC clock cycles ( ns = ns) Additional conversion time of 6 ADC clock cycles (6 100 ns = ns) Eight conversions in 26.5 ADC clock cycles ( ns = 2.21 s) using parallel mode Can be synchronized to the PWM via the PWM_SYNC0/1 input signal Sequentially scan and store up to 8 measurements While operating simultaneously and in parallel, scan and store up to four measurements on each ADC converter While operating asynchronously in parallel, scan and store up to four measurements on each ADC converter Optional interrupts at end of scan if an out-of-range limit is exceeded or at zero crossing Optional sample correction by subtracting a pre-programmed offset value Signed or unsigned result Single-ended or differential inputs PWM outputs with hysteresis for three of the analog inputs 1. While in loop mode, the time between each conversion is six ADC clock cycles ( ns). Using simultaneous conversion, two samples can be obtained in ns. Samples per second is calculated according to ns per two samples or 4,000,160 samples per second. Freescale Semiconductor 29-1

2 29.2 Block Diagram Figure 29-1 illustrates the dual ADC configuration. V REFH V REFLO Voltage Reference Circuit ADC_IN0 ADC_IN1 ADC_IN2 ADC_IN3 ADC_IN4 ADC_IN5 ADC_IN6 ADC_IN7 MUX Sample/ Hold Scaling and Cyclic Converter A Scaling and Cyclic Converter B Digital Output Storage Registers 16 PWM_SYNCn Controller Bus Interface Interrupt Data 29.3 External Signal Table 29-1 shows the ADC signal interface. Figure Dual ADC Block Diagram Table ADC Signal Signal I/O Function ADC_IN[7:0] I Analog input to be converted. ADC_VDD Dedicated power supply pins to reduce noise coupling and to improve ADC_VSS accuracy. The power provided to these pins is suggested to come from a low noise filtered source. Connect uncoupling capacitors between ADC_VDD and ADC_VSS. ADC_VSS is shared among the analog and digital circuitry. Note: On this device, the ADC reference voltages are internally connected to this supply Memory Map/Register Definition Do not reconfigure the ADC during scan operations, as this can lead to unpredictable results. The following accesses are allowed during scan operations: Reading status Reading conversion results Clearing interrupts 29-2 Freescale Semiconductor

3 Clearing zero crossing and limit status flags Starting/stopping scans using START and STOP bits. Table ADC Memory Map Address Register Width (bits) Access Reset Value Section/Page 0xFC09_4000 Control register 1 (ADC_CR1) 16 R/W 0x /29-3 0xFC09_4002 Control register 2 (ADC_CR2) 16 R/W 0x /29-6 0xFC09_4004 Zero crossing control register (ADC_ZCCR) 16 R/W 0x /29-7 0xFC09_4006 Channel list register 1 (ADC_LST1) 16 R/W 0x /29-7 0xFC09_4008 Channel list register 2 (ADC_LST2) 16 R/W 0x /29-7 0xFC09_400A Sample disable register (ADC_SDIS) 16 R/W 0x /29-9 0xFC09_400C Status register (ADC_SR) 16 R/W 0x /29-9 0xFC09_400E Limit status register (ADC_LSR) 16 R/W 0x / xFC09_4010 Zero crossing status register (ADC_ZCSR) 16 R/W 0x / xFC09_ n 0xFC09_ n 0xFC09_ n 0xFC09_ n Result registers (ADC_RSLTn) n = 0 7 Low limit 0 7 registers (ADC_LLMTn) n = 0 7 High limit 0-7 registers (ADC_HLMTn) n = 0 7 Offset 0 7 registers (ADC_OFSn) n = R/W 0x / R/W 0x / R/W 0x7FF / R/W 0x / xFC09_4052 Power control register (ADC_PWR) 16 R/W 0x3D8F / xFC09_4054 Calibration register (ADC_CAL) 16 R/W 0x / xFC09_4056 Power control register 2 (ADC_PWR2) 16 R/W 0x / xFC09_4058 Conversion divisor register (ADC_DIV) 16 R/W 0x / xFC09_405A Auto-standby divisor register (ADC_ASDIV) 16 R/W 0x / ADC Control Register 1 (ADC_CR1) This register controls all types of scans except parallel scans in the B converter when ADC_CR2[SIMULT] is cleared. Non-simultaneous parallel scan modes allow independent parallel scanning in the A and B converter. Bits 14, 13, 12, and 11 in ADC_CR2 register control converter B scans in non-simultaneous parallel scan modes. Freescale Semiconductor 29-3

4 Address: 0xFC09_4000 Access: User read/write R 0 0 DMA STOP SYNC EOS ZC LLMT HLMT W EN 0 START CHNCFG SMODE 0 IE0 IE IE IE 0 Reset Figure Control Register 1 (ADC_CR1) Table ADC_CR1 s 15 DMAEN 14 STOP0 13 START0 12 SYNC0 11 EOSIE0 10 ZCIE DMA enable. Enables DMA use, instead of interrupts. Also when set, all bits and signals related to the interrupt interface are redundant. This bit is used for both the converters 0 DMA disabled 1 DMA enabled ADC stop enable. When set, the current scan is stopped and no further scans can begin. Any further SYNC0 input pulses or writes to the START0 bit are ignored until this bit is cleared. After the ADC is in stop mode, you can change the result registers, and these changes are treated as if the analog core supplied the data. Therefore, limit checking, zero crossing, and associated interrupts can occur when authorized. 0 Normal operation 1 Stop mode Note: This is not the same as the processor s low-power stop mode. Start conversion. A scan is started by setting the START0 bit. This is a write-only bit. Writing one to the START0 bit again while the scan remains in process is ignored. 0 No action 1 Start command is issued Note: The ADC must be in a stable power configuration prior to writing this bit. Refer to the functional description of power modes for further details. SYNC0 enable. If set, a conversion may be initiated by asserting a positive edge on the SYNC0 input. Any subsequent SYNC0 input pulses while the scan remains in process are ignored. 0 Scan is initiated by setting the START0 bit only 1 Use a SYNC0 input pulse or START0 bit to initiate a scan Note: The ADC must be in a stable power mode prior to SYNC0 input assertion. Refer to the functional description of power modes for further details. Note: In once-scan modes, only the first SYNC0 input pulse is honored. Subsequent SYNC0 input pulses are ignored until the SYNC0 input is re-armed by writing to ADC_CR1. This can be done at any time, including while the scan remains in process. End of scan interrupt 0 enable. Enables an EOSI0 interrupt to be generated upon completion of the scan. For loop scan modes, the interrupt triggers after the completion of each iteration of the loop. 0 Interrupt disabled 1 Interrupt enabled Zero crossing interrupt enable. Enables the zero crossing interrupt if the current result value has a sign change from the previous result as configured by the ADC_ZCCR register. 0 Interrupt disabled 1 Interrupt enabled 29-4 Freescale Semiconductor

5 Table ADC_CR1 s (continued) 9 LLMTIE 8 HLMTIE 7 4 CHNCFG Low limit interrupt enable. Enables the low limit exceeded interrupt when the current result value is less than the low limit register value. The raw result value is compared to ADC_LLMT, before the offset register value is subtracted. 0 Interrupt disabled 1 Interrupt enabled High limit interrupt enable. Enables the high limit exceeded interrupt if the current result value is greater than the high limit register value. The raw result value is compared to ADC_HLMT, before the offset register value is subtracted. 0 Interrupt disabled 1 Interrupt enabled Channel configure. Configures the analog inputs for single-ended or differential conversions. CHNCFG Inputs xxx1 xxx0 ADC_IN0 ADC_IN1 xx1x ADC_IN2 xx0x ADC_IN3 x1xx ADC_IN4 x0xx ADC_IN5 1xxx ADC_IN6 0xxx ADC_IN7 Differential pair (ADC_IN0 is + and ADC_IN1 is ) Single-ended inputs Differential pair (ADC_IN2 is + and ADC_IN3 is ) Single-ended inputs Differential pair (ADC_IN4 is + and ADC_IN5 is ) Single-ended inputs Differential pair (ADC_IN6 is + and ADC_IN7 is ) Single-ended inputs Differential measurements: return the max value (2 12 1) when the positive input is V REFH and the negative input is V REFLO return zero when the + input is at V REFLO and the negative input is at V REFH scale linearly between based on the voltage difference between the two signals Single-ended measurements: return the max value when the input is at V REFH return zero when the input is at V REFLO scale linearly between based on the amount by which the input exceeds V REFLO 3 Reserved, must be cleared. 2 0 SMODE Scan mode control. This bit: Determines whether the slots perform one long sequential scan or two shorter parallel scans, each performed by one of the two converters Controls how these scans are initiated and terminated Controls if the scans are performed once or repeatedly See Section , Scan Modes, for detailed descriptions of these modes. 000 Once sequential 001 Once parallel 010 Loop sequential 011 Loop parallel 100 Triggered sequential 101 Triggered parallel 110 Reserved 111 Reserved Freescale Semiconductor 29-5

6 ADC Control Register 2 (ADC_CR2) ADC_CR2[14:11] and the SYNC1 module input only control converter B during parallel scan modes when SIMULT = 0 (non-simultaneous parallel scan modes). Address: 0xFC09_4002 Access: User read/write R STOP SYNC EOS SIM W 1 STAR 1 IE1 ULT T1 Reset Figure Control Register 2 (ADC_CR2) Table ADC_CR2 s 15 Reserved, must be cleared. 14 STOP1 13 START1 12 SYNC1 11 EOSIE1 During parallel scan modes when SIMULT = 0, setting STOP1 stops parallel scans in the B converter and prevents new ones from starting. Any further SYNC1 input pulses or writes to the START1 bit are ignored until the STOP1 bit is cleared. After the ADC is in stop mode, you can change the B converter ADC_RSLTn, and these changes are treated as if the analog core supplied the data. Therefore, limit checking, zero crossing, and associated interrupts can occur when authorized. 0 Normal operation 1 Stop command issued Note: This is not the same as the processor s low power stop mode. Start conversion 1. During parallel scan modes when SIMULT = 0, a B converter parallel scan is started by writing one to the START1 bit. This is a write-only bit. Writing one to the START1 bit again while the scan remains in process, is ignored. 0 No action 1 Start a B converter parallel scan Note: The ADC must be in a stable power configuration prior to writing the START bit. Refer to the functional description of power modes for further details. SYNC1 enable. During parallel scan modes when SIMULT = 0, setting SYNC1 permits a B converter parallel scan to be initiated by asserting the SYNC1 input for at least one ADC clock cycle. Any SYNC1 input pulses while the scan remains in process are ignored. 0 B converter parallel scan is initiated by setting the START1 bit only 1 Use a SYNC1 input pulse or START1 bit to initiate a B converter parallel scan Note: The ADC must be in a stable power mode prior to SYNC1 input assertion. Refer to the functional description of power modes for further details. Note: In once-scan modes, only the first SYNC1 input pulse is honored. Subsequent SYNC1 input pulses are ignored until the SYNC1 input is re-armed by writing to ADC_CR2. This can be done at any time, including while the scan remains in process. End of scan interrupt 1 enable. During parallel scan modes when SIMULT = 0, this bit enables an EOSI1 interrupt to be generated upon completion of a B converter parallel scan. For loop scan mode, the interrupt triggers upon the completion of each iteration of the loop. 0 Interrupt disabled 1 Interrupt enabled 10 8 Reserved, must be cleared Freescale Semiconductor

7 Table ADC_CR2 s (continued) 7 SIMULT Simultaneous mode. This bit only affects parallel scan modes. 0 Parallel scans in the A and B converters operate independently. Each converter s scan continues until its sample list is exhausted (four samples) or a disabled sample in its list is encountered. For loop parallel scan mode, each converter starts its next iteration when the previous iteration in that converter is complete and continues until the STOP bit for that converter is asserted. 1 Parallel scans in the A and B converters operate simultaneously and always result in pairs of simultaneous conversions. START0, STOP0, START1, and STOP1 control bits and the SYNC0 input are used to start and stop scans in both converters simultaneously. A scan ends in both converters when either converter encounters a disabled sample slot. When the parallel scan completes, the EOSI0 triggers if EOSIEN0 is set. The CIP0 status bit indicates a parallel scan is in process. 6 0 Reserved, must be cleared ADC Zero Crossing Control Register (ADC_ZCCR) ADC_ZCCR monitors the selected channels and determines the direction of zero crossing triggering the optional interrupt. Zero-crossing logic monitors only the sign change between the current and previous sample. ZCE0 monitors the sample stored in ADC_RSLT0 and bit ZCE7 monitors ADC_RSLT7. When zero crossing is disabled for a selected ADC_RSLTn, sign changes are not monitored or updated in ADC_ZCSR. Zero crossing functionality is only available on the first eight conversions in sequential mode and only available on the first four conversions assoicated with each converter in parallel modes. Address: 0xFC09_4004 Access: User read/write R ZCE7 ZCE6 ZCE5 ZCE4 ZCE3 ZCE2 ZCE1 ZCE0 W Reset Figure Zero Crossing Control Register (ADC_ZCCR) Table ADC_ZCCR s 15 0 ZCEn Zero crossing enable. For each channel n setting the ZCEn field allows detection of the indicated zero-crossing condition. 00 Disabled 01 Enabled for positive to negative sign change 10 Enabled for negative to positive sign change 11 Enabled for any sign change Channel List n Registers (ADC_LST1 2) The ADC_LSTn registers contain an ordered list of the channels to be converted when the next scan is initiated. If all samples are enabled in ADC_SDIS, a sequential scan of inputs proceeds in order of: SAMPLE0 7. If one of the parallel sampling modes is selected instead, the converter A sampling order is SAMPLE0 3 and the converter B sampling order is SAMPLE4 7. Freescale Semiconductor 29-7

8 In sequential conversion mode full functionality (offset subtraction and high/low limit checks) is only available on the first eight conversion slots, SAMPLE0 7. In parallel conversion mode full functionality is only available on the first four conversion slots of each channel, SAMPLE0 3 for converter A and SAMPLE4 7 for converter B. Address: 0xFC09_4006 Access: User read/write R SAMPLE3 SAMPLE2 SAMPLE1 SAMPLE0 W Reset Figure Channel List Register 1 (ADC_LST1) Address: 0xFC09_4008 Access: User read/write R SAMPLE7 SAMPLE6 SAMPLE5 SAMPLE4 W Reset Figure Channel List Register 2 (ADC_LST2) Table ADC_LSTn s SAMPLEn Selects the input channel to be sampled. SAMPLEn Single Ended Differential 000 ADC_IN0 ADC_IN0+, ADC_IN1 001 ADC_IN1 ADC_IN0+, ADC_IN1 010 ADC_IN2 ADC_IN2+, ADC_IN3 011 ADC_IN3 ADC_IN2+, ADC_IN3 100 ADC_IN4 ADC_IN4+, ADC_IN5 101 ADC_IN5 ADC_IN4+, ADC_IN5 110 ADC_IN6 ADC_IN6+, ADC_IN7 111 ADC_IN7 ADC_IN6+, ADC_IN7 In sequential modes, the sample slots are converted in order from SAMPLE0 to SAMPLE7. Any sample slot may reference any analog input (may contain a binary value between ). In parallel modes, converter A processes sample slots SAMPLE0 3 while converter B processes sample slots SAMPLE4 7. Because converter A only has access to analog inputs ADC_IN0 3, sample slots SAMPLE0 3 should only contain binary values between 000 and 011. Likewise, because converter B only has access to analog inputs ADC_IN4 7, sample slots SAMPLE4 7 should only contain binary values between 100 and 111. No damage occurs if this constraint is violated but results are undefined. When inputs are configured as differential pairs, a reference to either analog input in a differential pair by a sample slot implies a differential measurement on the pair. The details of single-ended and differential measurement are described in the CHNCFG bit field. Disable sample slots using the ADC_SDIS register Freescale Semiconductor

9 Sample Disable Register (ADC_ SDIS) Analog Digital Converter (ADC) This register is an extension to ADC_LSTn. It allows you to enable only the desired samples programmed in the SAMPLE0 7 fields. At reset all samples are enabled. Address: 0xFC09_400A Access: User read/write R DS7 DS6 DS5 DS4 DS3 DS2 DS1 DS0 W Reset Figure Sample Disable Register (ADC_SDIS) Table ADC_SDISn s 15 8 Reserved, must be cleared. 7 0 DSn Disable sample. 0 Enable SAMPLEn 1 Disable SAMPLEn and all subsequent samples. Which samples are actually disabled depends on the conversion mode (sequential/parallel) and the value of SIMULT Status Register (ADC_SR) This register provides the current status of the ADC module. RDYn bits are cleared by reading their corresponding result (ADC_RSLTn) registers. HLMTI and LLMTI bits are cleared by writing one to all asserted bits in the limit status register (ADC_LSR). Likewise, ZCI is cleared by writing one to all asserted bits in ADC_ZCSR. The EOSIn bits are cleared by writing one to them. Except for CIP0 and CIP1, the register bits are sticky. When set, they require some specific action to clear them. They are not cleared automatically on the next scan sequence. Address: 0xFC09_400C Access: User read/write R CIP0 CIP1 0 EOSI1 EOSI0 ZCI LLMTI HLMT RDY W w1c w1c Reset Figure Status Register (ADC_SR) Freescale Semiconductor 29-9

10 Table ADC_SR s 15 CIP0 14 CIP1 Conversion in progress 0. 0 Idle state 1 A scan cycle is in progress. The ADC ignores all sync pulses or start commands. Note: This refers to any scan except a B converter scan in non-simultaneous parallel scan modes. Conversion in progress 1. 0 Idle state 1 A scan cycle is in progress. The ADC ignores all sync pulses or start commands. Note: This refers only to a B converter scan in non-simultaneous parallel scan modes. 13 Reserved, must be cleared. 12 EOSI1 11 EOSI0 10 ZCI 9 LLMTI End of scan interrupt 1. Indicates if a scan of analog inputs was completed since the last read of the status register or since a reset. If DMA is enabled (ADC_CR1[DMAEN] = 1), this bit is cleared by the DMA engine. Otherwise, EOSI1 is cleared by writing one to it. This bit cannot be set by software. 0 A scan cycle was not completed; no end of scan interrupt/dma pending 1 A scan cycle was completed; end of scan interrupt/dma pending In looping scan modes, this interrupt is triggered at the completion of each iteration of the loop. This interrupt is triggered only by the completion of a B converter scan in non-simultaneous parallel scan modes. End of scan interrupt 0. Indicates if a scan of analog inputs was completed since the last read of the status register, or since a reset. If DMA is enabled (ADC_CR1[DMAEN] = 1), this bit is cleared by the DMA engine. Otherwise, EOSI1 is cleared by writing one to it. This bit cannot be set by software. EOSI0 is the preferred bit to poll for scan completion if interrupts are not enabled. 0 A scan cycle was not completed; no end of scan interrupt/dma pending 1 A scan cycle was completed; end of scan interrupt/dma pending In loop scan modes, this interrupt is triggered at the completion of each iteration of the loop mode. This interrupt is triggered upon the completion of any scan except for the completion of a B converter scan in non-simultaneous parallel scan modes. Zero crossing interrupt. If the respective offset register is configured by having a value greater than 0x0000, zero crossing checking is enabled. If ADC_OFSn register is 0x7FF8, the result always is less than or equal to zero. On the other hand, if ADC_OFSn is 0x0000, the result is always greater than or equal to zero and no zero crossing can occur because the sign of the result does not change. This interrupt asserts at the completion of an individual conversion which may or may not be the end of a scan. The ZCI bit is cleared by writing one to all active ADC_ZCSR[ZCSn] bits. 0 No ZCI interrupt request 1 Zero crossing encountered; interrupt pending if ZCIE is set Low limit interrupt. If the respective low limit register is enabled by having a value other than 0x0000, low limit checking is enabled. This interrupt asserts at the completion of an individual conversion which may or may not be the end of a scan. The LLMTI bit is cleared by writing one to all active ADC_LSR[LLSn] bits. 0 No low limit interrupt request 1 Low limit exceeded; interrupt pending if LLMTIE is set Freescale Semiconductor

11 Table ADC_SR s (continued) 8 HLMTI 7 0 RDYn High limit interrupt. If the respective high limit register is enabled by having a value other than 0x7FF8, high limit checking is enabled. This interrupt asserts at the completion of an individual conversion which may or may not be the end of a scan. The HLMTI bit is cleared by writing one to all active ADC_LSR[HLSn] bits. 0 No high limit interrupt request 1 High limit exceeded; interrupt pending if HLMTIE is set Ready sample 7 0. Indicate samples 7 0 are ready to be read. These bits are cleared after a read from the respective result register. The RDYn bits are set as the individual channel conversions are completed. If polling the RDYn bits to determine if a particular sample is completed, do not to start a new scan until all enabled samples are done. 0 Sample not ready or was read 1 Sample ready to read EOSI0 EOSIE0 ADC_CC0_INT EOSI1 EOSIE1 ADC_CC1_INT ZCI ZCIE LLMTI ADC_ERR_INT LLMTIE HLMTI HLMTIE Figure ADC Interrupt Limit Status (ADC_LSR) Register ADC_LSR latches in the result of the comparison between the result of the sample and the respective limit register (ADC_HLMTn, ADC_LLMTn). For example, if the result for the channel programmed in SAMPLE0 is greater than the value programmed in ADC_HLMT0, then HLS0 is set. An interrupt is generated if ADC_CR1[HLMTIE] is set. An ADC_LSR bit is cleared by writing a value of one to that specific bit. These bits are sticky. When set, they require a specific modification to clear them and are not cleared automatically by subsequent conversions. Freescale Semiconductor 29-11

12 Address: 0xFC09_400E Access: User read/write R HLS LLS W w1c w1c w1c w1c w1c w1c w1c w1c w1c w1c w1c w1c w1c w1c w1c w1c Reset Figure Limit Status Register (ADC_LSR) Table ADC_LSRn s 15 8 HLS 7 0 LLS High limit status 7 0. Indicates if the result for the channel is greater than the value programmed in the corresponding ADC_HLMTn register. Low limit status 7 0. Indicates if the result for the channel is less than the value programmed in the corresponding ADC_HLMTn register Zero Crossing Status Register (ADC_ZCSR) ADC_ZCSR latches the result of the comparison between the current result of the sample and the previous result of the same sample register. For example, if the result for the channel programmed in SAMPLE0 changes sign from the previous conversion and the respective ADC_ZSR[ZCEn] field is 11 (any edge change) then the ZCS0 bit is set. An interrupt is generated if ADC_CR1[ZCIE] is set. A bit can only be cleared by writing one to that specific bit. These bits are sticky. When set, they require a write to clear them. They are not cleared automatically by subsequent conversions. Address: 0xFC09_4010 Access: User read/write R ZCS W w1c w1c w1c w1c w1c w1c w1c w1c Reset Figure Zero Crossing Status Register (ADC_ZCSR) Table ADC_ZCSRn s 15 8 Reserved, must be cleared. 7 0 ZCS Zero crossing status. The zero crossing condition is determined by examining the ADC value after it is adjusted by the offset for the ADC_RSLTn register. Please see Figure Each bit of the register is cleared by writing one to that bit. 0 A sign change did not occur in a comparison between the current channeln result and the previous channel result, or zero crossing control is disabled for channel n in ADC_ZCCR. 1 In a comparison between the current channel n result and the previous channel n result, a sign change condition occurred as defined in ADC_ZCCR Freescale Semiconductor

13 ADC Result Registers (ADC_RSLT0 7) Analog Digital Converter (ADC) These eight result registers contain the converted results from a scan. The SAMPLE0 result is loaded into ADC_RSLT0, SAMPLE1 result in ADC_RSLT1, etc. In a parallel scan mode, the first channel pair designated by SAMPLE0 and SAMPLE4 in register ADC_LST1 2 are stored in ADC_RSLT0 and ADC_RSLT4 respectively. NOTE When writing to this register, only the RESULT portion of the value written is used. This value is modified, illustrated in Figure and the result of the subtraction is stored. The SEXT bit is only set as a result of this subtraction and is not directly determined by the value written. Address: 0xFC09_4012 (ADC_RSLT0) 0xFC09_4014 (ADC_RSLT1) 0xFC09_4016 (ADC_RSLT2) 0xFC09_4018 (ADC_RSLT3) 0xFC09_401A (ADC_RSLT4) 0xFC09_401C (ADC_RSLT5) 0xFC09_401E (ADC_RSLT6) 0xFC09_4020 (ADC_RSLT7) Access: User read/write R SEXT RESULT W TEST_DATA Reset Figure Result Registers (ADC_RSLTn) Table ADC_RSLTn s 15 SEXT 14 3 RESULT Sign extend bit of the result. If all positive results are required, set the respective ADC_OFSn register to zero. 0 Positive result 1 Negative result Digital result of the conversion. The ADC_RSLTn register can be interpreted as: Signed fractional number the RSLTn can be used directly. Signed integer you may right shift with sign extend, arithmetic shift right (ASR) three places and interpret the number, or accept the number as presented, knowing there are missing codes. The lower three bits of this register are always zero. Negative results (SEXT = 1) are always presented in two s compliment format. If the ADC_RSLTn registers must always be positive, clear ADC_OFSn. The interpretation of the numbers programmed into the ADC_LLMTn, ADC_HLMTn, and ADC_OFSn registers should match the interpretation of ADC_RSLTn. See Section , ADC Data Processing for a description of reading this field and how/when it can be used. 2 0 Reserved, must be cleared Low and High Limit Registers (ADC_LLMT0 7 & ADCHLMT0 7) Each ADC sample is compared against its corresponding limit registers. The comparison is based upon the raw conversion value with no offset correction applied. Please refer to Figure Freescale Semiconductor 29-13

14 The ADC tests if the result is greater than the high limit or less than the low limit. Disable limit checking by programming the respective high limit register with 0x7FF8 and the low limit register with 0x0000. At reset, limit checking is disabled. Address: 0xFC09_4022 (ADC_LLMT0) 0xFC09_4024 (ADC_LLMT1) 0xFC09_4026 (ADC_LLMT2) 0xFC09_4028 (ADC_LLMT3) 0xFC09_402A (ADC_LLMT4) 0xFC09_402C (ADC_LLMT5) 0xFC09_402E (ADC_LLMT6) 0xFC09_4030 (ADC_LLMT7) Access: User read/write R LIMIT W Reset Figure Low Limit Registers (ADC_LLMTn) Address: 0xFC09_4032 (ADC_HLMT0) 0xFC09_4034 (ADC_HLMT1) 0xFC09_4036 (ADC_HLMT2) 0xFC09_4038 (ADC_HLMT3) 0xFC09_403A (ADC_HLMT4) 0xFC09_403C (ADC_HLMT5) 0xFC09_403E (ADC_HLMT6) 0xFC09_4040 (ADC_HLMT7) Access: User read/write R LIMIT W Reset Figure High Limit Registers (ADC_HLMTn) Table ADC_LLMTn & ADC_HLMTn s 15 Reserved, must be cleared LIMIT High or low limit to compare the ADC sample result. 2 0 Reserved, must be cleared Offset Registers (ADC_OFS0 7) The ADC_OFSn registers correct the ADC result before it is stored in the ADC_RSLTn registers. Address: 0xFC09_4042 (ADC_OFS0) 0xFC09_4044 (ADC_OFS1) 0xFC09_4046 (ADC_OFS2) 0xFC09_4048 (ADC_OFS3) 0xFC09_404A (ADC_OFS4) 0xFC09_404C (ADC_OFS5) 0xFC09_404E (ADC_OFS6) 0xFC09_4050 (ADC_OFS7) Access: User read/write R OFFSET W Reset Figure Offset Registers (ADC_OFSn) Freescale Semiconductor

15 Table ADC_OFSn s 15 Reserved, must be cleared OFFSET qa The offset value is subtracted from the ADC result. To obtain unsigned results, program the respective ADC_OFSn register with a value of 0x0000. This results in a range of 0x0000 to 0x7FF8. Reserved, must be cleared Power Control Register (ADC_PWR) This register controls the power management features of the ADC module. There are individual manual power down controls for the two ADC converters and the voltage reference generator. There are also five distinct power modes. The following terms are used to describe power modes and their related controls. Power down state Each converter and the voltage reference generator can individually be put into a power down state. When powered down, the unit consumes no power. Results of scans referencing a powered down converter are undefined. The voltage reference generator and at least one converter must be powered up to use the ADC module. Manual power down controls Each converter and the voltage reference generator have a manual power control bit capable of putting that component into the power down state. Converters have other mechanisms with the capacity to automatically put them into the power down state. Idle state The ADC module is idle when neither of the two converters has a scan in process. Active state The ADC module is active when at least one of the two converters has a scan in process. Current mode Both converters share a common current mode. Normal current mode is used to power the converters at clock rates above 600 khz. Start-up delay Auto-power down modes cause a start-up delay when the ADC module goes between the idle and active states to allow time to switch clocks or power configurations. See Section , Power Management for details of the five power modes and how to configure them. See Section , Interrupt Operation for a more detailed description of the clocking system and the control of current mode. Address: 0xFC09_4052 (ADC_PWR) Access: User read/write R W ASB APD PSTS 3 PSTS 2 PSTS 1 PSTS 0 PUDELAY Reset Figure Power Control Register (ADC_PWR) PD 3 PD 2 PD 1 PD 0 Freescale Semiconductor 29-15

16 Table ADC_PWR s 15 ASB 14 APD 13 PSTS3 12 PSTS2 11 PSTS1 10 PSTS0 Auto standby mode enable. This bit is ignored if APD is set. When the ADC is idle, ASB mode selects the standby clock as the ADC clock source, putting the converters into standby current mode. At the start of any scan, the conversion clock is selected as the ADC clock and then a delay of PUDELAY ADC clock cycles is imposed for current levels to stabilize. After this delay, the ADC initiates the scan. When the ADC returns to the idle state, the standby clock is again selected and the converters revert to the standby current state. 0 ASB mode disabled 1 ASB mode enabled Note: This mode is not recommended for conversion clock rates at or below 200 khz. Instead, clear ASB and APD, and use standby power mode (normal mode with a sufficiently slow conversion clock so standby current mode automatically engages). This provides the advantages of standby current mode while avoiding the clock switching and PUDELAY. Note: Ideally, you should set this bit before clearing PD0 and PD1 to get the benefit of this power-saving mode right after power-up. Auto power down. Powers down the converters when not in use for a scan. When a scan is started in APD mode, a delay of PUDELAY ADC clock cycles is imposed during which the needed converters, if idle, are powered up. The ADC then initiates a scan equivalent to that when APD is not active. When the scan completes, the converters are powered down again. 0 Auto power down mode is not active 1 Auto power down mode is active Note: If ASB or APD is set while a scan is in progress, that scan is unaffected and the ADC waits to enter its low power state until after all conversions are complete and both ADCs are idle. Note: ASB and APD are not useful in loop modes. The continuous nature of scanning means the low power state can never be entered. Voltage reference converter B power status. 0 Voltage reference circuit is currently powered up 1 Voltage reference circuit is currently powered down Voltage reference converter A power status. 0 Voltage reference circuit is currently powered up 1 Voltage reference circuit is currently powered down Converter B Power status. PSTS1 is set immediately following a write of one to PD1. It is de-asserted PUDELAY ADC clock cycles after a write of 0 to PD1 if ADP is cleared. This bit can be read as a status bit to determine when the ADC is ready for operation. During auto-powerdown mode, this bit indicates the current powered state of converter B. 0 Converter B is currently powered up 1 Converter B is currently powered down Converter A power status. PSTS0 is set immediately following a write of one to PD0. It is de-asserted PUDELAY ADC clock cycles after a write of 0 to PD0 if ADP is cleared. This bit can be read as a status bit to determine when the ADC is ready for operation. During auto-powerdown mode, this bit indicates the current powered state of converter A. 0 Converter A is currently powered up 1 Converter A is currently powered down Freescale Semiconductor

17 Table ADC_PWR s (continued) 9 4 PUDELAY 3 PD3 2 PD2 1 PD1 0 PD0 Power-up delay. Determines the number of ADC clocks provided to power up an ADC converter (after clearing PD0 or PD1) before allowing a scan to start. It also determines the number of ADC clocks of delay provided in APD and ASB modes between when the ADC goes from the idle to active state and when the scan is allowed to start. The default value is 24 ADC clocks for a 10 MHz conversion clock as a 2 s delay is required after PD2/PD3 is cleared. Accuracy of the initial conversions in a scan is degraded if PUDELAY is set to too small of a value. After power-up, you can reprogram PUDELAY to 13 for minimal delay in APD and lesser in ASB. The value required for PUDELAY depends on the power down state chosen: Power down state 1, PD0/1 = 1, PD2/3 = 1 The entire ADC is in power down mode. Upon clearing PDn, there is a delay of 2 s before the ADC can start making conversions. Value of 24 is required for a 10 MHz conversion clock. Power down state 2, PD0/1 = 1, PD2/3 = 0 In this partial power down state only the amplifiers in the recursive sub-ranging sections (RSD) are powered off, which significantly reduces the power consumption of the ADC. Upon clearing PD0/1, there is a delay of 13 clock cycles before the ADC can start making conversions. Power down state 3, PD0/1 = 0, PD2/3 = 1 In this partial power down state only the bias reference generator, switch reference generator and the over rant reference generator are turned off. Upon releasing PD2/3, there is a delay of 2 s before the ADC can start making conversions. Note: PUDELAY defaults to a value typically sufficient for any power mode. The latency of a scan can be reduced by decreasing PUDELAY to the lowest value that accuracy is not degraded. Refer to the processor s data sheet for further details. Voltage reference converter B power down enable. Forces the voltage reference circuit to power down. 0 Manually power up 1 Power down controlled by PD1. The voltage reference is activated when PD1 is cleared. Note: After clearing this bit, wait at least 2 s (use PUDELAY to enforce this delay) before initiating a scan to stabilize the current levels within the converter. Voltage reference converter A power down enable. Forces the voltage reference circuit to power down. 0 Manually power up 1 Power down controlled by PD0. The voltage reference is activated when PD0 is cleared. Note: After clearing this bit, wait at least 2 s (use PUDELAY to enforce this delay) before initiating a scan to stabilize the current levels within the converter. Manual converter B power down. 0 Manually power up. This converter is powered up continuously (APD = 0) or automatically when needed (APD = 1). Do not clear this bit unless PD3 is cleared. 1 Immediately power down converter B. The results of a scan using this converter are invalid. Note: In any power mode except auto-powerdown (APD=1), when clearing PD1, wait PUDELAY ADC clock cycles before initiating a scan to stabilize power levels within the converter. Poll PSTS1 to determine when the PUDELAY time has elapsed. Failure to do this results in loss of accuracy of the first two samples. Manual converter A power down. 0 Manually power up. This converter is powered up continuously (APD = 0) or automatically when needed (APD = 1). Do not clear this bit unless PD2 is cleared. 1 Immediately power down converter A. The results of a scan using this converter are invalid. Note: In any power mode except auto-powerdown (APD=1), when clearing PD0, wait PUDELAY ADC clock cycles before initiating a scan to stabilize power levels within the converter. Poll PSTS0 to determine when the PUDELAY time has elapsed. Failure to do this results in loss of accuracy of the first two samples. Freescale Semiconductor 29-17

18 Calibration Register (ADC_CAL) The ADC provides for off-chip references used for ADC conversions. Address: 0xFC09_4054 (ADC_CAL) Access: User read/write R VREF W H VREF L1 VREF H0 VREF L DAC 1 Reset Figure Calibration Register (ADC_CAL) Table ADC_CAL s DAC 0 15 VREFH1 14 VREFL1 13 VREFH0 12 VREFL0 V REFH source 1. Selects the source of the V REFH reference for all conversions in converter 1. 0 Internal V DDA 1 ADC_IN4 V REFLO source 1. Selects the source of the V REFLO reference for all conversions in converter 1. 0 Internal V SSA 1 ADC_IN5 V REFH source 0. Selects the source of the V REFH reference for all conversions in converter 0. 0 Internal V DDA 1 ADC_IN0 V REFLO source 0. Selects the source of the V REFLO reference for all conversions in converter 0. 0 Internal V SSA 1 ADC_IN Reserved, must be cleared. 1 DAC1 0 DAC0 DAC1 alternate source 1. Selects the source of the ADCB3 input as DAC1 output. 0 Normal operation 1 ADC_IN7 input is replaced with DAC1 output DAC0 alternate source 0. Selects the source of the ADCA3 input as DAC0 output. 0 Normal operation 1 ADC_IN3 input is replaced with DAC0 output Power Control Register 2 (ADC_PWR2) Address: 0xFC09_4056 (ADC_PWR2) Access: User read/write R STN SPEEDB SPEEDA W BY Reset Figure Power Control Register 2 (ADC_PWR2) Freescale Semiconductor

19 Table ADC_PWR2 s 15 5 Reserved, must be cleared. 4 STNBY 3 2 SPEEDB 1 0 SPEEDA Standby mode enable. 0 Not in standby mode 1 Enable standby mode. The ADC converters are placed into low power mode. Set ADC_DIV such that the conversion clock is in the khz range. Only set this bit when the bus clock is running at a lower frequency as the dividers are not large enough to generate a 200-kHz conversion clock from a 125-MHz bus clock. Converter B speed control. Configures the clock speed the ADCB operates. Faster conversion speeds require greater current consumption. Default value is set to 01 for conversion up to 10 MHz. Note: If the conversion clock frequency remains in a range for a specific SPEEDB setting, you can change the frequency of the conversion clock without any wait period. However, if the conversion clock frequency change is significant enough that it falls into a different SPEEDB setting, then you must wait 2 s after changing the SPEEDB setting before using the ADC. Note: Before changing SPEEDB, set ADC_PWR[PD3] or ADC_PWR[PD1]. After changing SPEEDB, clear ADC_PWR[PD3,PD1]. This sequence is followed by PUDELAY(which should be set to 24) which forces a 2 s delay. Converter A speed control. Configures the clock speed that the ADCA operates. Faster conversion speeds require greater current consumption. Default value is set to 01 for conversion up to 10 MHz. Note: If the conversion clock frequency remains in a range for a specific SPEEDA setting, you can change the frequency of the conversion clock without any wait period. However, if the conversion clock frequency change is significant enough that it falls into a different SPEEDA setting, then you must wait 2 s after changing the SPEEDA setting before using the ADC. Note: Before changing SPEEDA, set ADC_PWR[PD2] or ADC_PWR[PD0]. After changing SPEEDA, clear ADC_PWR[PD2,PD0]. This sequence is followed by PUDELAY(which should be set to 24) which forces a 2 s delay Conversion Divisor Register (ADC_DIV) Address: 0xFC09_4058 (ADC_DIV) Access: User read/write R 0 0 DIV1 DIV0 W Reset Figure Conversion Divisor Register (ADC_DIV) Table ADC_DIV s 15 Reserved, must be cleared DIV1 Same as the DIV0 description, but DIV1 is used to generate the clock for converter B during parallel non-simultaneous scan modes. See the DIV0 description for details. Freescale Semiconductor 29-19

20 Table ADC_DIV s (continued) 7 Reserved, must be cleared. 6 0 DIV0 Clock divisor select. The divider circuit generates the ADC clock by dividing the system clock by 2 (DIV0 + 1). Select a DIV0 value so the ADC clock does not exceed 10 MHz or go below 600 KHz. The following table shows ADC clock frequency based on the value of DIV0 for various configurations. Default value is set for an internal clock of 125 Mhz with maximum valid conversion clock below 10 MHz. DIVn Divisor ADC Conversion Clock (MHz) 125MHz 60MHz (Limp Mode) 0x x x x x x x Note: When ADC_PWR2[STNBY] is set, program values in both dividers so that a khz conversion clock is generated Auto-Standby Divisor Register (ADC_ASDIV) Address: 0xFC09_405A (ADC_ASDIV) Access: User read/write R ASDIV W Reset Figure Auto-Standby Divisor Register (ADC_ASDIV) Freescale Semiconductor

21 Table ADC_ASDIV s 15 7 Reserved, must be cleared. 6 0 ASDIV Clock divisor select. The divider circuit generates the ADC auto-standby clock by dividing the system clock by 2 (ASDIV + 1). Select a ASDIV value so the ADC auto-standby clock is in the khz range. The following table shows the ADC auto-standby clock frequency based on the value of ASDIV for various configurations. Default value is set for an internal clock of 125Mhz. ASDIV ADC Clock In (MHz) Divisor Auto-Standby Clock (khz) 0x x12B x0F x0EF x03B x x Functional The ADC block consists of two 4-channel input select function, two independent sample and hold (S/H) circuits feeding two separate 12-bit ADCs. The two separate converters store their results in an accessible buffer, awaiting further processing. The conversion process is initiated by a SYNC signal from one of the on-chip timer channels (see Chapter 10, Chip Configuration Module (CCM)) or by writing one to a ADC_CRn[START] bit. Starting a single conversion actually begins a sequence of conversions, or a scan. A conversion takes up to eight-single ended or differential samples, one at a time in sequential scan mode. In parallel scan mode, the eight samples are allocated, four to converter A and four to converter B. In parallel scan modes, converter A can only sample analog inputs ADC_IN0 3 while converter B can only sample analog inputs ADC_IN4 7. Each converter can take up to four samples. The scan sequence is determined by defining eight sample slots, processed in order SAMPLE0 7 during sequential scan mode. In parallel scan mode, the SAMPLE0 3 are processed in order by converter A, and SAMPLE4 7 are processed in order by converter B. Sample slots may be disabled using the ADC_SDIS register to terminate a scan early. The following pairs of analog inputs can be configured as a differential pair: ADC_IN0 1, ADC_IN2 3, and ADC_IN4 5, ADC_IN6 7. When configured as a differential pair, a reference to either member of the pair by a sample slot results in a differential measurement using that differential pair. Freescale Semiconductor 29-21

22 The ADC can perform a single scan and halt, perform a scan when triggered, or perform the scan sequence repeatedly until manually stopped. These modes are described in the following section Scan Modes The various scan modes defined by ADC_CR1[SMODE] are described in Table Table Scan Modes SMODE Scan 000 Once sequential Upon start or an enabled sync signal, samples are taken one at a time starting with SAMPLE0, until the first disabled sample is encountered. If no disabled sample is encountered, conversion concludes after SAMPLE7. If the scan is initiated by a SYNC signal only one scan is completed until the converter is rearmed by writing to ADC_CR Once parallel Upon start or an enabled sync signal, converter A converts SAMPLE0 3 and converter B converts SAMPLE4 7 in parallel. When SIMULT is set, scanning stops when either converter encounters a disabled sample or both converters complete their 4 samples. When SIMULT is cleared, scanning stops in a converter when that converter encounters a disabled sample or that converter completes its four samples. If the scan is initiated by a SYNC signal, only one scan is completed until the converter is rearmed by writing to ADC_CR1. If SIMULT is cleared, then the B converter must be rearmed by writing to ADC_CR Loop sequential Upon an initial start or enabled sync pulse, up to eight samples in order SAMPLE0 7 are taken one at a time until a disabled sample is encountered. The process repeats until the STOP0 bit is set. While a loop mode is running, do not give any additional start commands or sync pulses. If ASB or APD is the selected power mode control, PUDELAY is only applied on the first conversion. 011 Loop parallel Upon an initial start or enabled sync pulse, converter A converts SAMPLE0 3 and converter B converts SAMPLE4 7. Each time a converter completes its current scan, it immediately restarts its scan sequence. This continues until the STOP bit is set. While a loop mode is running, do not give any additional start commands or sync pulses. When SIMULT is set, scanning restarts when either converter encounters a disabled sample. When SIMULT is cleared, scanning restarts in a converter when that converter encounters a disabled sample. If auto-standby (ASB) or auto-power down (APD) is the selected power mode control, PUDELAY is only applied on the first conversion. 100 Triggered sequential Upon start or an enabled sync signal, samples are taken one at a time starting with SAMPLE0, until a disabled sample is encountered. If no disabled sample is encountered, conversion concludes after SAMPLE7. If external sync is enabled, new scans are started for each SYNC pulse that is non-overlapping with a current scan in progress. 101 Triggered parallel Upon start or an enabled sync signal, converter A converts SAMPLE0 3 and converter B converts SAMPLE4 7 in parallel. When SIMULT is set, scanning stops when either converter encounters a disabled sample. When SIMULT is cleared, scanning stops in a converter when that converter encounters a disabled sample. If external sync is enabled new scans are started for each non-overlapping SYNC pulse with a current scan in progress Freescale Semiconductor

23 The parallel scan modes can be simultaneous or non-simultaneous, as defined by ADC_CR2[SIMULT]: Simultaneous scan mode the parallel scans in the two converters are executed simultaneously, always resulting in simultaneous pairs of conversions: one by converter A and one by converter B. The two converters share the same start, stop, sync, end-of-scan interrupt enable control, and interrupt. Scanning in both converters is terminated when either converter encounters a disabled sample. Non-simultaneous scan mode the parallel scans in the two converters are done independently. The two converters have their own start, stop, sync, end-of-scan interrupt enable controls and interrupts. Scanning in either converter terminates only when that converter encounters a disabled sample. CAL REF ADC_IN0 ADC_IN1 ADC_IN2 ADC_IN3 ADC_IN4 ADC_IN5 ADC_IN6 ADC_IN7 4 1 analog mux SAMPLEn 4 1 analog mux CAL REF 2 1 mux CAL0 2 1 mux CAL1 A/D#0 AD#1 2 8 mux RSLT0 RSLT1 RSLT2 RSLT3 RSLT4 RSLT5 RSLT6 RSLT7 ADC_LSTn{SAMPLEn] SAMPLEn Figure ADC Sequential Operation Mode Freescale Semiconductor 29-23

Section bit Analog-to-Digital Converter (ADC)

Section bit Analog-to-Digital Converter (ADC) Section 17. 10-bit Analog-to-Digital Converter (ADC) HIGHLIGHTS This section of the manual contains the following major topics: 17 17.1 Introduction...17-2 17.2 Control Registers...17-4 17.3 ADC Operation,

More information

Analog to Digital Conversion

Analog to Digital Conversion Analog to Digital Conversion What the heck is analog to digital conversion? Why do we care? Analog to Digital Conversion What the heck is analog to digital conversion? Why do we care? A means to convert

More information

Hello and welcome to this presentation of the STM32L4 Analog-to-Digital Converter block. It will cover the main features of this block, which is used

Hello and welcome to this presentation of the STM32L4 Analog-to-Digital Converter block. It will cover the main features of this block, which is used Hello and welcome to this presentation of the STM32L4 Analog-to-Digital Converter block. It will cover the main features of this block, which is used to convert the external analog voltage-like sensor

More information

Converters: Analogue to Digital

Converters: Analogue to Digital Converters: Analogue to Digital Presented by: Dr. Walid Ghoneim References: Process Control Instrumentation Technology, Curtis Johnson Op Amps Design, Operation and Troubleshooting. David Terrell 1 - ADC

More information

AN-822 APPLICATION NOTE

AN-822 APPLICATION NOTE APPLICATION NOTE One Technology Way P.O. Box 9106 Norwood, MA 02062-9106, U.S.A. Tel: 781.329.4700 Fax: 781.461.3113 www.analog.com Synchronization of Multiple AD9779 Txs by Steve Reine and Gina Colangelo

More information

A MISSILE INSTRUMENTATION ENCODER

A MISSILE INSTRUMENTATION ENCODER A MISSILE INSTRUMENTATION ENCODER Item Type text; Proceedings Authors CONN, RAYMOND; BREEDLOVE, PHILLIP Publisher International Foundation for Telemetering Journal International Telemetering Conference

More information

Analog Input & Output

Analog Input & Output EEL 4744C: Microprocessor Applications Lecture 10 Part 1 Analog Input & Output Dr. Tao Li 1 Read Assignment M&M: Chapter 11 Dr. Tao Li 2 To process continuous signals as functions of time Advantages free

More information

Decade Counters Mod-5 counter: Decade Counter:

Decade Counters Mod-5 counter: Decade Counter: Decade Counters We can design a decade counter using cascade of mod-5 and mod-2 counters. Mod-2 counter is just a single flip-flop with the two stable states as 0 and 1. Mod-5 counter: A typical mod-5

More information

WINTER 15 EXAMINATION Model Answer

WINTER 15 EXAMINATION Model Answer Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme. 2) The model answer and the answer written by candidate

More information

NI-DAQmx Device Considerations

NI-DAQmx Device Considerations NI-DAQmx Device Considerations January 2008, 370738M-01 This help file contains information specific to analog output (AO) Series devices, C Series, B Series, E Series devices, digital I/O (DIO) devices,

More information

Digital Systems Laboratory 3 Counters & Registers Time 4 hours

Digital Systems Laboratory 3 Counters & Registers Time 4 hours Digital Systems Laboratory 3 Counters & Registers Time 4 hours Aim: To investigate the counters and registers constructed from flip-flops. Introduction: In the previous module, you have learnt D, S-R,

More information

Interfacing Analog to Digital Data Converters. A/D D/A Converter 1

Interfacing Analog to Digital Data Converters. A/D D/A Converter 1 Interfacing Analog to Digital Data Converters A/D D/A Converter 1 In most of the cases, the PPI 8255 is used for interfacing the analog to digital converters with microprocessor. The analog to digital

More information

Point System (for instructor and TA use only)

Point System (for instructor and TA use only) EEL 4744C - Drs. George and Gugel Spring Semester 2002 Final Exam NAME SS# Closed book and closed notes examination to be done in pencil. Calculators are permitted. All work and solutions are to be written

More information

Digilent Nexys-3 Cellular RAM Controller Reference Design Overview

Digilent Nexys-3 Cellular RAM Controller Reference Design Overview Digilent Nexys-3 Cellular RAM Controller Reference Design Overview General Overview This document describes a reference design of the Cellular RAM (or PSRAM Pseudo Static RAM) controller for the Digilent

More information

Section Bit ADC with 4 Simultaneous Conversions

Section Bit ADC with 4 Simultaneous Conversions Section 49. 10-Bit ADC with 4 Simultaneous Conversions HIGHLIGHTS This section of the manual contains the following major topics: 49.1 Introduction...1-2 49.2 Control Registers...1-4 49.3 Overview of and

More information

SMPTE-259M/DVB-ASI Scrambler/Controller

SMPTE-259M/DVB-ASI Scrambler/Controller SMPTE-259M/DVB-ASI Scrambler/Controller Features Fully compatible with SMPTE-259M Fully compatible with DVB-ASI Operates from a single +5V supply 44-pin PLCC package Encodes both 8- and 10-bit parallel

More information

TZ1000 Series. MCU 12-bit Analog to Digital Converter

TZ1000 Series. MCU 12-bit Analog to Digital Converter TZ1 Series Application Processor Lite ApP Lite TZ1 Series Reference Manual MCU 12bit Analog to Digital Converter Revision 1.3 2182 215218 Toshiba Electronic Devices & Storage Corporation 1 / 53 21826 Rev.1.3

More information

The outputs are formed by a combinational logic function of the inputs to the circuit or the values stored in the flip-flops (or both).

The outputs are formed by a combinational logic function of the inputs to the circuit or the values stored in the flip-flops (or both). 1 The outputs are formed by a combinational logic function of the inputs to the circuit or the values stored in the flip-flops (or both). The value that is stored in a flip-flop when the clock pulse occurs

More information

DIGITAL ELECTRONICS MCQs

DIGITAL ELECTRONICS MCQs DIGITAL ELECTRONICS MCQs 1. A 8-bit serial in / parallel out shift register contains the value 8, clock signal(s) will be required to shift the value completely out of the register. A. 1 B. 2 C. 4 D. 8

More information

EECS145M 2000 Midterm #1 Page 1 Derenzo

EECS145M 2000 Midterm #1 Page 1 Derenzo UNIVERSITY OF CALIFORNIA College of Engineering Electrical Engineering and Computer Sciences Department EECS 145M: Microcomputer Interfacing Laboratory Spring Midterm #1 (Closed book- calculators OK) Wednesday,

More information

ANALOG I/O MODULES AD268 / DA264 / TC218 USER S MANUAL

ANALOG I/O MODULES AD268 / DA264 / TC218 USER S MANUAL UM-TS02 -E026 PROGRAMMABLE CONTROLLER PROSEC T2-series ANALOG I/O MODULES AD268 / DA264 / TC218 USER S MANUAL TOSHIBA CORPORATION Important Information Misuse of this equipment can result in property damage

More information

Table 1. Summary of MCF5223x Errata

Table 1. Summary of MCF5223x Errata Freescale Semiconductor MCF52235DE Chip Errata Rev 9, 02/2015 MCF52235 Chip Errata Silicon Revision: All This document identifies implementation differences between the MCF5223x processors and the description

More information

Analog-to-Digital Converter

Analog-to-Digital Converter 5 5.1 Objectives: The TM4C is equipped with an analog-to-digital (ATD) conversion system that samples an analog (continuous) signal at regular intervals and then converts each of these analog samples into

More information

SignalTap Plus System Analyzer

SignalTap Plus System Analyzer SignalTap Plus System Analyzer June 2000, ver. 1 Data Sheet Features Simultaneous internal programmable logic device (PLD) and external (board-level) logic analysis 32-channel external logic analyzer 166

More information

Introduction to Mechatronics. Fall Instructor: Professor Charles Ume. Analog to Digital Converter

Introduction to Mechatronics. Fall Instructor: Professor Charles Ume. Analog to Digital Converter ME6405 Introduction to Mechatronics Fall 2006 Instructor: Professor Charles Ume Analog to Digital Converter Analog and Digital Signals Analog signals have infinite states available mercury thermometer

More information

GREAT 32 channel peak sensing ADC module: User Manual

GREAT 32 channel peak sensing ADC module: User Manual GREAT 32 channel peak sensing ADC module: User Manual Specification: 32 independent timestamped peak sensing, ADC channels. Input range 0 to +8V. Sliding scale correction. Peaking time greater than 1uS.

More information

FSM Cookbook. 1. Introduction. 2. What Functional Information Must be Modeled

FSM Cookbook. 1. Introduction. 2. What Functional Information Must be Modeled FSM Cookbook 1. Introduction Tau models describe the timing and functional information of component interfaces. Timing information specifies the delay in placing values on output signals and the timing

More information

2.6 Reset Design Strategy

2.6 Reset Design Strategy 2.6 Reset esign Strategy Many design issues must be considered before choosing a reset strategy for an ASIC design, such as whether to use synchronous or asynchronous resets, will every flipflop receive

More information

Application Note. RTC Binary Counter An Introduction AN-CM-253

Application Note. RTC Binary Counter An Introduction AN-CM-253 Application Note RTC Binary Counter An Introduction AN-CM-253 Abstract This application note introduces the behavior of the GreenPAK's Real-Time Counter (RTC) and outlines a couple common design applications

More information

Combinational vs Sequential

Combinational vs Sequential Combinational vs Sequential inputs X Combinational Circuits outputs Z A combinational circuit: At any time, outputs depends only on inputs Changing inputs changes outputs No regard for previous inputs

More information

AD9884A Evaluation Kit Documentation

AD9884A Evaluation Kit Documentation a (centimeters) AD9884A Evaluation Kit Documentation Includes Documentation for: - AD9884A Evaluation Board - SXGA Panel Driver Board Rev 0 1/4/2000 Evaluation Board Documentation For the AD9884A Purpose

More information

Tutorial Introduction

Tutorial Introduction Tutorial Introduction PURPOSE - To explain how to configure and use the in common applications OBJECTIVES: - Identify the steps to set up and configure the. - Identify techniques for maximizing the accuracy

More information

Complete 12-Bit 40 MHz CCD Signal Processor AD9945

Complete 12-Bit 40 MHz CCD Signal Processor AD9945 Complete 12-Bit 40 MHz CCD Signal Processor AD9945 FEATURES 40 MSPS Correlated Double Sampler (CDS) 6 db to 40 db 10-Bit Variable Gain Amplifier (VGA) Low Noise Optical Black Clamp Circuit Preblanking

More information

Contents Circuits... 1

Contents Circuits... 1 Contents Circuits... 1 Categories of Circuits... 1 Description of the operations of circuits... 2 Classification of Combinational Logic... 2 1. Adder... 3 2. Decoder:... 3 Memory Address Decoder... 5 Encoder...

More information

DEDICATED TO EMBEDDED SOLUTIONS

DEDICATED TO EMBEDDED SOLUTIONS DEDICATED TO EMBEDDED SOLUTIONS DESIGN SAFE FPGA INTERNAL CLOCK DOMAIN CROSSINGS ESPEN TALLAKSEN DATA RESPONS SCOPE Clock domain crossings (CDC) is probably the worst source for serious FPGA-bugs that

More information

ELEN Electronique numérique

ELEN Electronique numérique ELEN0040 - Electronique numérique Patricia ROUSSEAUX Année académique 2014-2015 CHAPITRE 5 Sequential circuits design - Timing issues ELEN0040 5-228 1 Sequential circuits design 1.1 General procedure 1.2

More information

Laboratory Exercise 4

Laboratory Exercise 4 Laboratory Exercise 4 Polling and Interrupts The purpose of this exercise is to learn how to send and receive data to/from I/O devices. There are two methods used to indicate whether or not data can be

More information

Precision testing methods of Event Timer A032-ET

Precision testing methods of Event Timer A032-ET Precision testing methods of Event Timer A032-ET Event Timer A032-ET provides extreme precision. Therefore exact determination of its characteristics in commonly accepted way is impossible or, at least,

More information

TXZ Family. Reference Manual 12-bit Analog to Digital Converter (ADC-A) 32-bit RISC Microcontroller. Revision

TXZ Family. Reference Manual 12-bit Analog to Digital Converter (ADC-A) 32-bit RISC Microcontroller. Revision 32-bit RISC Microcontroller TXZ Family Reference Manual (ADC-A) Revision 2.1 2018-06 2018/06/19 1 / 46 Rev. 2.1 2017-2018 Toshiba Electronic Devices & Storage Corporation Contents Preface... 5 Related

More information

Complete 10-Bit, 25 MHz CCD Signal Processor AD9943

Complete 10-Bit, 25 MHz CCD Signal Processor AD9943 a FEATURES 25 MSPS Correlated Double Sampler (CDS) 6 db to 40 db 10-Bit Variable Gain Amplifier (VGA) Low Noise Optical Black Clamp Circuit Preblanking Function 10-Bit, 25 MSPS A/D Converter No Missing

More information

TV Synchronism Generation with PIC Microcontroller

TV Synchronism Generation with PIC Microcontroller TV Synchronism Generation with PIC Microcontroller With the widespread conversion of the TV transmission and coding standards, from the early analog (NTSC, PAL, SECAM) systems to the modern digital formats

More information

More on Flip-Flops Digital Design and Computer Architecture: ARM Edition 2015 Chapter 3 <98> 98

More on Flip-Flops Digital Design and Computer Architecture: ARM Edition 2015 Chapter 3 <98> 98 More on Flip-Flops Digital Design and Computer Architecture: ARM Edition 2015 Chapter 3 98 Review: Bit Storage SR latch S (set) Q R (reset) Level-sensitive SR latch S S1 C R R1 Q D C S R D latch Q

More information

MSP430 Teaching Materials

MSP430 Teaching Materials UBI MSP430 Teaching Materials Lecture 8 SAR ADC Texas Instruments Incorporated University of Beira Interior (PT) Pedro Dinis Gaspar, António Espírito Santo, Bruno Ribeiro, Humberto Santos University of

More information

The University of Texas at Dallas Department of Computer Science CS 4141: Digital Systems Lab

The University of Texas at Dallas Department of Computer Science CS 4141: Digital Systems Lab The University of Texas at Dallas Department of Computer Science CS 4141: Digital Systems Lab Experiment #5 Shift Registers, Counters, and Their Architecture 1. Introduction: In Laboratory Exercise # 4,

More information

IT T35 Digital system desigm y - ii /s - iii

IT T35 Digital system desigm y - ii /s - iii UNIT - III Sequential Logic I Sequential circuits: latches flip flops analysis of clocked sequential circuits state reduction and assignments Registers and Counters: Registers shift registers ripple counters

More information

Chapter 4. Logic Design

Chapter 4. Logic Design Chapter 4 Logic Design 4.1 Introduction. In previous Chapter we studied gates and combinational circuits, which made by gates (AND, OR, NOT etc.). That can be represented by circuit diagram, truth table

More information

Complete 10-Bit/12-Bit, 25 MHz CCD Signal Processor AD9943/AD9944

Complete 10-Bit/12-Bit, 25 MHz CCD Signal Processor AD9943/AD9944 a FEATURES 25 MSPS Correlated Double Sampler (CDS) 6 db to 40 db 10-Bit Variable Gain Amplifier (VGA) Low Noise Optical Black Clamp Circuit Preblanking Function 10-Bit (AD9943), 12-Bit (AD9944), 25 MSPS

More information

Vorne Industries. 87/719 Analog Input Module User's Manual Industrial Drive Itasca, IL (630) Telefax (630)

Vorne Industries. 87/719 Analog Input Module User's Manual Industrial Drive Itasca, IL (630) Telefax (630) Vorne Industries 87/719 Analog Input Module User's Manual 1445 Industrial Drive Itasca, IL 60143-1849 (630) 875-3600 Telefax (630) 875-3609 . 3 Chapter 1 Introduction... 1.1 Accessing Wiring Connections

More information

Hello and welcome to this training module for the STM32L4 Liquid Crystal Display (LCD) controller. This controller can be used in a wide range of

Hello and welcome to this training module for the STM32L4 Liquid Crystal Display (LCD) controller. This controller can be used in a wide range of Hello and welcome to this training module for the STM32L4 Liquid Crystal Display (LCD) controller. This controller can be used in a wide range of applications such as home appliances, medical, automotive,

More information

Sequential Logic Basics

Sequential Logic Basics Sequential Logic Basics Unlike Combinational Logic circuits that change state depending upon the actual signals being applied to their inputs at that time, Sequential Logic circuits have some form of inherent

More information

LATCHES & FLIP-FLOP. Chapter 7

LATCHES & FLIP-FLOP. Chapter 7 LATCHES & FLIP-FLOP Chapter 7 INTRODUCTION Latch and flip flops are categorized as bistable devices which have two stable states,called SET and RESET. They can retain either of this states indefinitely

More information

Training Note TR-06RD. Schedules. Schedule types

Training Note TR-06RD. Schedules. Schedule types Schedules General operation of the DT80 data loggers centres on scheduling. Schedules determine when various processes are to occur, and can be triggered by the real time clock, by digital or counter events,

More information

Complete 14-Bit 30 MSPS CCD Signal Processor AD9824

Complete 14-Bit 30 MSPS CCD Signal Processor AD9824 a FEATURES 14-Bit 30 MSPS A/D Converter 30 MSPS Correlated Double Sampler (CDS) 4 db 6 db 6-Bit Pixel Gain Amplifier (PxGA ) 2 db to 36 db 10-Bit Variable Gain Amplifier (VGA) Low Noise Clamp Circuits

More information

SPI Serial Communication and Nokia 5110 LCD Screen

SPI Serial Communication and Nokia 5110 LCD Screen 8 SPI Serial Communication and Nokia 5110 LCD Screen 8.1 Objectives: Many devices use Serial Communication to communicate with each other. The advantage of serial communication is that it uses relatively

More information

High Performance TFT LCD Driver ICs for Large-Size Displays

High Performance TFT LCD Driver ICs for Large-Size Displays Name: Eugenie Ip Title: Technical Marketing Engineer Company: Solomon Systech Limited www.solomon-systech.com The TFT LCD market has rapidly evolved in the last decade, enabling the occurrence of large

More information

SDA 3302 Family. GHz PLL with I 2 C Bus and Four Chip Addresses

SDA 3302 Family. GHz PLL with I 2 C Bus and Four Chip Addresses GHz PLL with I 2 C Bus and Four Chip Addresses Preliminary Data Features 1-chip system for MPU control (I 2 C bus) 4 programmable chip addresses Short pull-in time for quick channel switch-over and optimized

More information

ORM0022 EHPC210 Universal Controller Operation Manual Revision 1. EHPC210 Universal Controller. Operation Manual

ORM0022 EHPC210 Universal Controller Operation Manual Revision 1. EHPC210 Universal Controller. Operation Manual ORM0022 EHPC210 Universal Controller Operation Manual Revision 1 EHPC210 Universal Controller Operation Manual Associated Documentation... 4 Electrical Interface... 4 Power Supply... 4 Solenoid Outputs...

More information

A FOUR GAIN READOUT INTEGRATED CIRCUIT : FRIC 96_1

A FOUR GAIN READOUT INTEGRATED CIRCUIT : FRIC 96_1 A FOUR GAIN READOUT INTEGRATED CIRCUIT : FRIC 96_1 J. M. Bussat 1, G. Bohner 1, O. Rossetto 2, D. Dzahini 2, J. Lecoq 1, J. Pouxe 2, J. Colas 1, (1) L. A. P. P. Annecy-le-vieux, France (2) I. S. N. Grenoble,

More information

Data Conversion and Lab (17.368) Fall Lecture Outline

Data Conversion and Lab (17.368) Fall Lecture Outline Data Conversion and Lab (17.368) Fall 2013 Lecture Outline Class # 11 November 14, 2013 Dohn Bowden 1 Today s Lecture Outline Administrative Detailed Technical Discussions Lab Microcontroller and Sensors

More information

Tutorial on Technical and Performance Benefits of AD719x Family

Tutorial on Technical and Performance Benefits of AD719x Family The World Leader in High Performance Signal Processing Solutions Tutorial on Technical and Performance Benefits of AD719x Family AD7190, AD7191, AD7192, AD7193, AD7194, AD7195 This slide set focuses on

More information

AI-1204Z-PCI. Features. 10MSPS, 12-bit Analog Input Board for PCI AI-1204Z-PCI 1. Ver.1.04

AI-1204Z-PCI. Features. 10MSPS, 12-bit Analog Input Board for PCI AI-1204Z-PCI 1. Ver.1.04 10MSPS, 12-bit Analog Board for PCI AI-1204Z-PCI * Specifications, color and design of the products are subject to change without notice. This product is a PCI bus-compliant interface board that expands

More information

Design and Implementation of an AHB VGA Peripheral

Design and Implementation of an AHB VGA Peripheral Design and Implementation of an AHB VGA Peripheral 1 Module Overview Learn about VGA interface; Design and implement an AHB VGA peripheral; Program the peripheral using assembly; Lab Demonstration. System

More information

ASNT_PRBS20B_1 18Gbps PRBS7/15 Generator Featuring Jitter Insertion, Selectable Sync, and Output Amplitude Control

ASNT_PRBS20B_1 18Gbps PRBS7/15 Generator Featuring Jitter Insertion, Selectable Sync, and Output Amplitude Control ASNT_PRBS20B_1 18Gbps PRBS7/15 Generator Featuring Jitter Insertion, Selectable Sync, and Output Amplitude Control Broadband frequency range from 20Mbps 18.0Gbps Minimal insertion jitter Fast rise and

More information

MBI5152 Application Note

MBI5152 Application Note MBI552 Application Note Forward MBI552 features an embedded 8k-bit SRAM, which can support up to :6 time-multiplexing application. Users only need to send the whole frame data once and to store in the

More information

ADC Peripheral in Microcontrollers. Petr Cesak, Jan Fischer, Jaroslav Roztocil

ADC Peripheral in Microcontrollers. Petr Cesak, Jan Fischer, Jaroslav Roztocil ADC Peripheral in s Petr Cesak, Jan Fischer, Jaroslav Roztocil Czech Technical University in Prague, Faculty of Electrical Engineering Technicka 2, CZ-16627 Prague 6, Czech Republic Phone: +420-224 352

More information

Analog-to-Digital Conversion (Part 2) Microcomputer Architecture and Interfacing Colorado School of Mines Professor William Hoff

Analog-to-Digital Conversion (Part 2) Microcomputer Architecture and Interfacing Colorado School of Mines Professor William Hoff Analog-to-Digital Conversion (Part 2) Charge redistribution network Instead of a resistor ladder for the D/A converter, the microcontroller uses an-all capacitor system to generate the known voltages It

More information

Digital Circuits 4: Sequential Circuits

Digital Circuits 4: Sequential Circuits Digital Circuits 4: Sequential Circuits Created by Dave Astels Last updated on 2018-04-20 07:42:42 PM UTC Guide Contents Guide Contents Overview Sequential Circuits Onward Flip-Flops R-S Flip Flop Level

More information

(CSC-3501) Lecture 7 (07 Feb 2008) Seung-Jong Park (Jay) CSC S.J. Park. Announcement

(CSC-3501) Lecture 7 (07 Feb 2008) Seung-Jong Park (Jay)  CSC S.J. Park. Announcement Seung-Jong Park (Jay) http://www.csc.lsu.edu/~sjpark Computer Architecture (CSC-3501) Lecture 7 (07 Feb 2008) 1 Announcement 2 1 Combinational vs. Sequential Logic Combinational Logic Memoryless Outputs

More information

Synthesis Technology E102 Quad Temporal Shifter User Guide Version 1.0. Dec

Synthesis Technology E102 Quad Temporal Shifter User Guide Version 1.0. Dec Synthesis Technology E102 Quad Temporal Shifter User Guide Version 1.0 Dec. 2014 www.synthtech.com/euro/e102 OVERVIEW The Synthesis Technology E102 is a digital implementation of the classic Analog Shift

More information

110 MHz 256-Word Color Palette 15-, 16-, and 24-Bit True Color Power-Down RAMDAC

110 MHz 256-Word Color Palette 15-, 16-, and 24-Bit True Color Power-Down RAMDAC 110 MHz 256-Word Color Palette 15-, 16-, and 24-Bit True Color Power-Down RAMDAC Designed specifically for high-performance color graphics, the RAM- DAC supports three true-color modes: 15-bit (5:5:5,

More information

Advanced Devices. Registers Counters Multiplexers Decoders Adders. CSC258 Lecture Slides Steve Engels, 2006 Slide 1 of 20

Advanced Devices. Registers Counters Multiplexers Decoders Adders. CSC258 Lecture Slides Steve Engels, 2006 Slide 1 of 20 Advanced Devices Using a combination of gates and flip-flops, we can construct more sophisticated logical devices. These devices, while more complex, are still considered fundamental to basic logic design.

More information

DT3162. Ideal Applications Machine Vision Medical Imaging/Diagnostics Scientific Imaging

DT3162. Ideal Applications Machine Vision Medical Imaging/Diagnostics Scientific Imaging Compatible Windows Software GLOBAL LAB Image/2 DT Vision Foundry DT3162 Variable-Scan Monochrome Frame Grabber for the PCI Bus Key Features High-speed acquisition up to 40 MHz pixel acquire rate allows

More information

V6118 EM MICROELECTRONIC - MARIN SA. 2, 4 and 8 Mutiplex LCD Driver

V6118 EM MICROELECTRONIC - MARIN SA. 2, 4 and 8 Mutiplex LCD Driver EM MICROELECTRONIC - MARIN SA 2, 4 and 8 Mutiplex LCD Driver Description The is a universal low multiplex LCD driver. The version 2 drives two ways multiplex (two blackplanes) LCD, the version 4, four

More information

Logic Devices for Interfacing, The 8085 MPU Lecture 4

Logic Devices for Interfacing, The 8085 MPU Lecture 4 Logic Devices for Interfacing, The 8085 MPU Lecture 4 1 Logic Devices for Interfacing Tri-State devices Buffer Bidirectional Buffer Decoder Encoder D Flip Flop :Latch and Clocked 2 Tri-state Logic Outputs

More information

Tiptop audio z-dsp.

Tiptop audio z-dsp. Tiptop audio z-dsp www.tiptopaudio.com Introduction Welcome to the world of digital signal processing! The Z-DSP is a modular synthesizer component that can process and generate audio using a dedicated

More information

Infineon HYB18T512160AF-3.7 DDR2 SDRAM Circuit Analysis

Infineon HYB18T512160AF-3.7 DDR2 SDRAM Circuit Analysis March 13, 2006 Infineon HYB18T512160AF-3.7 DDR2 SDRAM Circuit Analysis For questions, comments, or more information about this report, or for any additional technical needs concerning semiconductor technology,

More information

Chapter 5 Flip-Flops and Related Devices

Chapter 5 Flip-Flops and Related Devices Chapter 5 Flip-Flops and Related Devices Chapter 5 Objectives Selected areas covered in this chapter: Constructing/analyzing operation of latch flip-flops made from NAND or NOR gates. Differences of synchronous/asynchronous

More information

Solutions to Embedded System Design Challenges Part II

Solutions to Embedded System Design Challenges Part II Solutions to Embedded System Design Challenges Part II Time-Saving Tips to Improve Productivity In Embedded System Design, Validation and Debug Hi, my name is Mike Juliana. Welcome to today s elearning.

More information

nc... Freescale Semiconductor, I

nc... Freescale Semiconductor, I Application Note Rev. 0, 2/2003 Interfacing to the HCS12 ATD Module by Martyn Gallop, Application Engineering, Freescale, East Kilbride Introduction Many of the HCS12 family of 16-bit microcontrollers

More information

Topic D-type Flip-flops. Draw a timing diagram to illustrate the significance of edge

Topic D-type Flip-flops. Draw a timing diagram to illustrate the significance of edge Topic 1.3.2 -type Flip-flops. Learning Objectives: At the end of this topic you will be able to; raw a timing diagram to illustrate the significance of edge triggering; raw a timing diagram to illustrate

More information

Introduction. NAND Gate Latch. Digital Logic Design 1 FLIP-FLOP. Digital Logic Design 1

Introduction. NAND Gate Latch.  Digital Logic Design 1 FLIP-FLOP. Digital Logic Design 1 2007 Introduction BK TP.HCM FLIP-FLOP So far we have seen Combinational Logic The output(s) depends only on the current values of the input variables Here we will look at Sequential Logic circuits The

More information

11. Sequential Elements

11. Sequential Elements 11. Sequential Elements Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 October 11, 2017 ECE Department, University of Texas at Austin

More information

GHz Sampling Design Challenge

GHz Sampling Design Challenge GHz Sampling Design Challenge 1 National Semiconductor Ghz Ultra High Speed ADCs Target Applications Test & Measurement Communications Transceivers Ranging Applications (Lidar/Radar) Set-top box direct

More information

Multiplexor (aka MUX) An example, yet VERY useful circuit!

Multiplexor (aka MUX) An example, yet VERY useful circuit! Multiplexor (aka MUX) An example, yet VERY useful circuit! A B 0 1 Y S A B Y 0 0 x 0 0 1 x 1 1 x 0 0 1 x 1 1 S=1 S=0 Y = (S)? B:A; Y=S A+SB when S = 0: output A 1: output B 56 A 32-bit MUX Use 32 1-bit

More information

2 MHz Lock-In Amplifier

2 MHz Lock-In Amplifier 2 MHz Lock-In Amplifier SR865 2 MHz dual phase lock-in amplifier SR865 2 MHz Lock-In Amplifier 1 mhz to 2 MHz frequency range Dual reference mode Low-noise current and voltage inputs Touchscreen data display

More information

Flip Flop. S-R Flip Flop. Sequential Circuits. Block diagram. Prepared by:- Anwar Bari

Flip Flop. S-R Flip Flop. Sequential Circuits. Block diagram. Prepared by:- Anwar Bari Sequential Circuits The combinational circuit does not use any memory. Hence the previous state of input does not have any effect on the present state of the circuit. But sequential circuit has memory

More information

Logic Analyzer Triggering Techniques to Capture Elusive Problems

Logic Analyzer Triggering Techniques to Capture Elusive Problems Logic Analyzer Triggering Techniques to Capture Elusive Problems Efficient Solutions to Elusive Problems For digital designers who need to verify and debug their product designs, logic analyzers provide

More information

Scan. This is a sample of the first 15 pages of the Scan chapter.

Scan. This is a sample of the first 15 pages of the Scan chapter. Scan This is a sample of the first 15 pages of the Scan chapter. Note: The book is NOT Pinted in color. Objectives: This section provides: An overview of Scan An introduction to Test Sequences and Test

More information

BUSES IN COMPUTER ARCHITECTURE

BUSES IN COMPUTER ARCHITECTURE BUSES IN COMPUTER ARCHITECTURE The processor, main memory, and I/O devices can be interconnected by means of a common bus whose primary function is to provide a communication path for the transfer of data.

More information

VLSI Design: 3) Explain the various MOSFET Capacitances & their significance. 4) Draw a CMOS Inverter. Explain its transfer characteristics

VLSI Design: 3) Explain the various MOSFET Capacitances & their significance. 4) Draw a CMOS Inverter. Explain its transfer characteristics 1) Explain why & how a MOSFET works VLSI Design: 2) Draw Vds-Ids curve for a MOSFET. Now, show how this curve changes (a) with increasing Vgs (b) with increasing transistor width (c) considering Channel

More information

EECS150 - Digital Design Lecture 10 - Interfacing. Recap and Topics

EECS150 - Digital Design Lecture 10 - Interfacing. Recap and Topics EECS150 - Digital Design Lecture 10 - Interfacing Oct. 1, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy of Prof. John Wawrzynek)

More information

DDA-UG-E Rev E ISSUED: December 1999 ²

DDA-UG-E Rev E ISSUED: December 1999 ² 7LPHEDVH0RGHVDQG6HWXS 7LPHEDVH6DPSOLQJ0RGHV Depending on the timebase, you may choose from three sampling modes: Single-Shot, RIS (Random Interleaved Sampling), or Roll mode. Furthermore, for timebases

More information

LX3V-4AD User manual Website: Technical Support: Skype: Phone: QQ Group: Technical forum:

LX3V-4AD User manual Website: Technical Support: Skype: Phone: QQ Group: Technical forum: User manual Website: http://www.we-con.com.cn/en Technical Support: support@we-con.com.cn Skype: fcwkkj Phone: 86-591-87868869 QQ Group: 465230233 Technical forum: http://wecon.freeforums.net/ 1. Introduction

More information

YEDITEPE UNIVERSITY DEPARTMENT OF COMPUTER ENGINEERING. EXPERIMENT VIII: FLIP-FLOPS, COUNTERS 2014 Fall

YEDITEPE UNIVERSITY DEPARTMENT OF COMPUTER ENGINEERING. EXPERIMENT VIII: FLIP-FLOPS, COUNTERS 2014 Fall YEDITEPE UNIVERSITY DEPARTMENT OF COMPUTER ENGINEERING EXPERIMENT VIII: FLIP-FLOPS, COUNTERS 2014 Fall Objective: - Dealing with the operation of simple sequential devices. Learning invalid condition in

More information

IMS B007 A transputer based graphics board

IMS B007 A transputer based graphics board IMS B007 A transputer based graphics board INMOS Technical Note 12 Ray McConnell April 1987 72-TCH-012-01 You may not: 1. Modify the Materials or use them for any commercial purpose, or any public display,

More information

Product Update. JTAG Issues and the Use of RT54SX Devices

Product Update. JTAG Issues and the Use of RT54SX Devices Product Update Revision Date: September 2, 999 JTAG Issues and the Use of RT54SX Devices BACKGROUND The attached paper authored by Richard B. Katz of NASA GSFC and J. J. Wang of Actel describes anomalies

More information

High Speed Data Acquisition Cards

High Speed Data Acquisition Cards High Speed Data Acquisition Cards TPCE TPCE-LE TPCE-I TPCX 2016 Elsys AG www.elsys-instruments.com 1 Product Overview Elsys Data Acquisition Cards are high speed high precision digitizer modules. Based

More information

PICOSECOND TIMING USING FAST ANALOG SAMPLING

PICOSECOND TIMING USING FAST ANALOG SAMPLING PICOSECOND TIMING USING FAST ANALOG SAMPLING H. Frisch, J-F Genat, F. Tang, EFI Chicago, Tuesday 6 th Nov 2007 INTRODUCTION In the context of picosecond timing, analog detector pulse sampling in the 10

More information

AN-605 APPLICATION NOTE

AN-605 APPLICATION NOTE a AN-605 APPLICAION NOE One echnology Way P.O. Box 906 Norwood, MA 006-906 el: 7/39-4700 Fax: 7/36-703 www.analog.com Synchronizing Multiple AD95 DDS-Based Synthesizers by David Brandon INRODUCION Many

More information

Design for Testability

Design for Testability TDTS 01 Lecture 9 Design for Testability Zebo Peng Embedded Systems Laboratory IDA, Linköping University Lecture 9 The test problems Fault modeling Design for testability techniques Zebo Peng, IDA, LiTH

More information