CPE 628 Chapter 5 Logic Built-In Self-Test. Dr. Rhonda Kay Gaede UAH. UAH Chapter Introduction

Size: px
Start display at page:

Download "CPE 628 Chapter 5 Logic Built-In Self-Test. Dr. Rhonda Kay Gaede UAH. UAH Chapter Introduction"

Transcription

1 Chapter 5 Logic Built-In Self-Test Dr. Rhonda Kay Gaede UAH Introduction Introduce the basic concepts of BIST BIST Rules Test pattern generation and output techniques Fault Coverage Various BIST diagrams A Design Practice Page 2 1

2 4.1 Introduction Logic BIST Techniques Why do we need built-in self-test (BIST)? For applications Detect faults Provide diagnosis BIST Concurrent online BIST Non Concurrent online BIST BIST Functional offline BIST Structural offline BIST Page Introduction Typical ATPG System Test Pattern Generator (TPG) Logic BIST Controller Circuit Under Test (CUT) Output Response Analyzer (ORA) Structural off-line BIST Page 4 2

3 5.2 BIST Design Rules Logic BIST requires much more design restrictions when compared to conventional scan. Therefore, when designing a logic BIST system, it is essential that the circuit under test meet all rules and design rules, called design rules. One of the biggest problems is values. Depending on the nature of each, several methods can be appropriate for use. Common problems: (1). (2). Page BIST Design Rules Typical Unknown Sources Adding bypass logic. Adding control-only scan point Bypass logic Initialization Scan points Page 6 3

4 5.2 BIST Design Rules Unknown Source Blocking Page BIST Design Rules Asynchronous Set/Reset Signals Asynchronous Set/Reset Signals using the existing scan enable (SE) signal to protect each shift operation and adding a set/reset clock point (SRCK) on each set/reset signal to test the set/reset circuitry. SRCK SE Set/Reset Circuitry Functional Logic 0 1 R D Q Scan-In CK Shift Window Capture Window Shift Window Capture Window Shift Window CK C1 C2 SRCK SE Page 8 4

5 5.2 BIST Design Rules Tri-State Buses Tri-State Buses Re-synthesize each bus with. decoder A for testing a tri-state bus with 2 drivers Page BIST Design Rules Paths 0-control point 1-control point Paths Adding an extra to a selected combinational gate on the path Page 10 5

6 5.2 BIST Design Rules I/O Ports Fix the of each bi-directional I/O port to either input or output mode. EN SE BIST_mode D Z IO Forcing a bi-directional port to mode Page BIST Design Rules and caused by clock may occur between the TPG and the (scan chain) inputs of the CUT as well as between the (scan chain) outputs of the CUT and the ORA. To avoid these potential problems and ease physical implementation, we recommend adding logic between the TPG and the CUT and between the CUT and the ORA. T P G D CK Q D CK Q CUT D CK Q D CK Q O R A CK1 CK2 CK3 logic among the TPG, CUT, and ORA Page 12 6

7 5.3 Test Pattern Generation Test pattern generators (TPGs) constructed from shift registers (LFSRs) TPG testing ( set of tests) Pseudo- testing ( of tests plus simulation) Pseudo- testing ( set of tests for of each output) Page Test Pattern Generation Standard LFSR(External XOR) Consists of n and a number of exclusive-or (XOR) gates h n-1 h n-2 h 2 h 1 S i0 S i1 S in-2 S in-1 Page 14 7

8 5.3 Test Pattern Generation Standard LFSR(Internal XOR) Each XOR gate placed between two D flip-flops At most, one of delay h 1 h 2 hn-2 hn-1 Si0 Si1 Sin-2 Sin-1 Page Test Pattern Generation Standard LFSR(Characteristic Polynomial) The internal of the n-stage LFSR can be described by a polynomial of degree n, f(x) = 1 + h 1 x + h 2 x h n-1 x n-1 + x n, where h represents a in the circuit. Let S i represent the of the n-stage LFSR after i of the contents,s 0,of the LFSR, and S i (x) be the polynomial representation of S i S i (x) = S i0 + S i1 x + S i2 x S in-2 x n-2 + S in-1 x n-1 If T is the integer such that f(x) divides 1 + x T,then the integer T is called the of the LFSR. If T = 2 n 1, then the n-stage LFSR generates a -length sequence. Page 16 8

9 5.3 Test Pattern Generation Standard LFSR(Maximal Length LFSR) a.f(x) = 1 + x 2 + x 4 b.f(x) = 1 + x + x 4 S 0 = x 3 Page Test Pattern Generation Standard LFSR(Primitive Polynomials) A maximal length LFSR is constructed using polynomials. A polynomial is a polynomial that divides but not, for any integer i < T, where T = 2 n -1. Maximal length LFSRs leave out one pattern,. Page 18 9

10 5.3 Test Pattern Generation Standard LFSRs(Complete LFSRs) (a) 4-stage standard CFSR (b) 4-stage modular CFSR (c) A minimized version of (a) (d) A minimized version of (b) Page Test Pattern Generation Pseudo-Random Testing Exhaustive Testing works well for. Pseudo-Random generates a subset using a maximum-length LFSR. Each maximum-length LFSR produces a sequence with probability of generating at every output. For faults, you can use logic to the patterns X 1 X 2 X 3 X 4 Page 20 10

11 5.3 Test Pattern Generation Pseudo-Random Testing (Cellular Automata) Provide test patterns Provide coverage in a random-pattern resistant (RP-resistant) circuit Implementation advantage General structure of an n-stage cellular automata Rule 90: x i (t+1) = x i-1 (t) + x i+1 (t) Rule 150: x i (t+1) = x i-1 (t) + x i (t) + x i+1 (t) 0 Cell 0 Cell 1 Cell n-2 Cell n-1 0 Page Test Pattern Generation Pseudo- Random Testing (Cellular Automata Example) 0 X 0 X 1 X 2 X Page 22 11

12 5.3 Test Pattern Generation Pseudo-Exhaustive Testing Reduce while retaining many advantages of testing Guarantee 100% single-stuck fault coverage test technique test technique Page Test Pattern Generation Pseudo-Exhaustive Testing (Verification Testing) the CUT into m cones, from each output to determine the inputs that drive the output. Each cone will receive test patterns and are tested. Pseudo-exhaustive pattern generation techniques Page 24 12

13 5.3 Test Pattern Generation Syndrome Driver Counter Use SDC to generate test patterns. Check whether some inputs can the same test signal. If n-p Inputs can share test inputs with other p inputs, then the circuit can be tested exhaustively with these p inputs. In this case, and can share a test signal. x 1 x 2 x 3 x An (n, w)=(4, 2) CUT X 1 X 2 X 3 y 1 y 2 y 3 y 4 X 4 Page Test Pattern Generation Constant-Weight Counter Use CWCs to generate test patterns. Constant-Weight counters are constructed using constant-weight code or code. The constant-weight test set is a -length test set for many circuits. X 1 X 2 X X 4 Page 26 13

14 5.3 Test Pattern Generation Combined LFSR/SR Use a combination of an LFSR and a shift register (SR) for pattern generation. The method is most effective when is much less than. In general, this technique requires tests than other schemes when is greater than. However, it usually requires at least seeds. This sequence can test the (4,2) circuit because the patterns occur on all of outputs. X 1 X 2 X 3 X Page Test Pattern Generation Combined LFSR/Phase Shifter A combined LFSR/PS approach using a combination of an LFSR and a linear phase shifter which includes a of gates to generate test pattern. Similar to combined LFSR/SR, this technique requires more tests than other schemes when w is greater than n/2. Again, any two outputs contain all four combinations. The number of seeds required is two. X 1 X 2 X 3 X 1 X 2 X 4 X Page 28 14

15 5.3 Test Pattern Generation Condensed LFSR Condensed LFSRs are constructed based on codes. Define g(x) and p(x) as the polynomial and polynomial over GF(2), respectively. An (n, k) condensed LFSR can be realized using where f(x) = g(x)p(x) = (1 + x + x x n-k )p(x) w < k/(n k _ 1) + k/(n k + 1) (4,3) with S 0 (x) = g(x) = 1 + x for testing (n,w) = (4, 2) CUT X 1 X 2 X 3 X 4 Page Test Pattern Generation Cyclic LFSR Use cyclic LFSRs to reduce the test length when. A cyclic code always exists when n =, To exhaustively test any (n,w) CUT -find a generator polynomial g(x) of degree (or degree ), for generating an (n,k ) = (n,n -k) cyclic code, that divides and has a design distance ; construct an (n,k) cyclic LFSR using f(x) = h(x)p(x) = (1+x n )p(x)/g(x), where h(x) = (1+x n )/g(x); -shorten this (n,k) cyclic LFSR to an (n,k) cyclic LFSR by deleting the,, or n -n stages from the (n,k) cyclic LFSR. To test a CUT, no cyclic code for 8, use n = and k = Page 30 15

16 5.3 Test Pattern Generation Cyclic LFSR (Example) To test a CUT, no cyclic code for 8, use n = and k = A (8,5) cyclic LFSR, picking the first stages and the last stages of the (15,5) cyclic LFSR, has a period of Page Test Pattern Generation Compatible LFSR The combined LFSR of an l-stage LFSR and an l-to-n logic, called l-stage compatible LFSR, can further the test length, when only stuck faults are considered. X 1 X 2 Y X 3 X 4 X 5 Y 2 X 1 X 2 X 3 X 4 X 5 (a) An (n,w) = (5,4) CUT (b) A 2-stage compatible LFSR Page 32 16

17 5.3 Test Pattern Generation Segmentation Testing Used when Test using previous techniques is too or Output depends on inputs. Divide the circuit into segments partitioning partitioning Page Test Pattern Generation Delay Fault Testing Need patterns to test delay fault exhaustively Test set could cause test when more than input changes. Use maximal LFSR plus counter to generate 2n(2 n 1) patterns TESTTYPE h n-1 h n-2 h 2 h X 1 X 2 X n-1 X n Page 34 17

18 5.4 Output Response Analysis Output responses are into a signature is different from, is lossy. Compaction techniques testing testing Page Output Response Analysis Ones Count Testing Assume the CUT has output and the output contains a stream of L bits. Let the fault-free output response be {r 0, r 1, r 2,,r L-1 } Ones count testing will need a counter to count in the bit stream. The probability P OC (m) = (C(L,m)-1)/(2 L 1) where m is the number of and C(L, m) is the combination of taken at a time and L is the of the sequence. T CUT Counter Signature CLK Page 36 18

19 5.4 Output Response Analysis - Transition Count Testing Transition count testing is similar to that for ones count testing, except the is defined as the number of 1-to-0 and 0-to-1. The aliasing probabilty is P TC (m) = (2C(L-1,m)-1)/(2 L -1) where m is the fault-free number of and C(L-1, m) is the combination of L-1 taken m at a time and L is the length of the sequence. T CUT D Q ri-1 r i Counter Signature CLK Page Output Response Analysis Signature Analysis Signature analysis is the compaction technique used today, based on checking. Two signature analysis schemes signature analysis ( ) signature analysis ( ) Page 38 19

20 5.4 Output Response Analysis Signature Analysis (Serial Example) M Page Output Response Analysis Signature Analysis (Serial) An n-stage single-input signature register h 1 h 2 h n-2 h n-1 M r 0 r 1 r n-2 r n-1 Define L-bit output sequence M Aliasing Probability M ( x) = m 0 + m1 x + m2x m L x L 1 1 Let the polynomial of the modular LFSR be f(x) IF M(x) = q(x) f(x) + r(x) Signature is the polynomial remainder, r(x) Page 40 20

21 5.4 Output Response Analysis Signature Analysis (Parallel) Multiple-input signature register ( ) h 1 h 2 h n-2 h n-1 r 0 r 1 r n-2 r n-1 M 0 M 1 M 2 M n-2 M n-1 An n-input MISR can be remodeled as a single-input SISR with sequence M(x) and E(x) n 2 n 1 M ( x) = M 0( x) + xm1( x) x M n 2( x) + x M n 1( x) n 2 n 1 E( x) = E0( x) + xe1 ( x) x En 2( x) + x En 1( x) Page Output Response Analysis Signature Analysis (Parallel Example) M 0 M 1 M 2 M 3 M 0 M 1 M 2 M 3 M A 4-stage MISR An equivalent M sequence Aliasing probability P PSA ( n) = (2 ( ml n) 1) /(2 ml 1) Page 42 21

22 5.5 Logic BIST Architectures Four Types of BIST Architectures: No structure to the CUT Make use of in the CUT the scan chains for test pattern and output response Use concurrent circuitry of the design Page Logic BIST Architectures BIST Architectures for Non-scan Circuits Two LFSRs and two multiplexers are added to the circuit. The first LFSR acts as a, the second serves as a. The first multiplexer selects the inputs, another routes the PO to the SISR. PIs n M U X n CUT (C or S) m PRPG 1 MUX k 1 SISR TEST k = [log 2 m] CSBL Architecture Page 44 22

23 5.5 Logic BIST Architectures BIST Architectures for Non-scan Circuits Use a PRPG and a MISR. Pseudo-random patterns are applied in parallel from the PRPG to the chip primary inputs (PIs) and a MISR is used to compact the chip output responses. PIs P R P G CUT (C or S) M I S R BEST Architecture Page Logic BIST Architectures BIST Architectures for Scan Circuits In addition to the internal scan chain, an external scan chain comprising all and is required. The external scan-chain input is connected to the the internal scan chain. S in PRPG SISR S out R 1 R 2 PIs SRL CUT (C) S i S o POs SRL LOCST Architecture Page 46 23

24 5.5 Logic BIST Architectures BIST Architectures for Scan Circuits Contains a PRPG (SRSG) and a MISR. The are loaded in parallel from the PRPG. The clocks are then pulsed and the are scanned out to the MISR for compaction. New test patterns are scanned in at the as the test responses are being scanned out. PRPG PRPG Linear Phase Shifter CUT (C or S) CUT (C or S) MISR STUMPS Linear Phase Compactor MISR Page Logic BIST Architectures BIST Architectures w/ Register Reconfiguration The architecture applies to circuits that can be partitioned into (logic blocks). Each module is assumed to have its own input and output ( elements), or such are added to the circuit where necessary. The registers are so that for test purposes they act as PRPGs or MISRs. B 2 Y 0 Y 1 Y 2 B D Q D Q D Q Scan-In SCK X 0 X Scan-Out/X 2 1 BILBO Page 48 24

25 5.5 Logic BIST Architectures BIST Architectures w/ Register Reconfiguration Y 0 Y 1 Y 2 B 1 Scan-Out D Q 1D 2D Q SEL D Q 1D 2D Q SEL D Q 1D 2D Q SEL CBILBO Scan-In B 2 SCK X 0 X 1 X 2 Page Logic BIST Architectures BIST Architectures w/ Register Reconfiguration All primary inputs and primary outputs are reconfigured as scan cells. They are connected to the internal scan cells to form a path. During, all primary inputs (PIs) are connected as a shift register (SR), whereas all internal scan cells and primary outputs (POs) are reconfigured as a MISR. Fault coverage is. CIRCULATE S in 0 1 PIs SR MISR S out MISR CUT (C) MISR Y i X i CLK D Q Xi (a) The CSTP architecture POs (b) Self-Test cell Page 50 25

26 5.5 Logic BIST Architectures BIST Architectures w/ Concurrent Checking PRPG n Functional Circuitry m Duplicate Circuitry m Checking Circuitry two-rail checker CSV Architecture Page Fault Coverage Enhancement Three approaches to enhance the fault coverage Test point insertion Mixed-mode BIST Hybrid BIST Page 52 26

27 5.6 Fault Coverage Enhancement Test Point Insertion Page Fault Coverage Enhancement Test Point Insertion (Placement) Where to place the test points in the circuit to maximize the coverage and minimize the number of test points required. guided techniques guided techniques test point insertion technique During normal operation control points must be Random Deterministic Page 54 27

28 5.6 Fault Coverage Enhancement Mixed-Mode BIST (No Modification to CUT) patterns are generated to detect the RP-testable faults, and then some additional patterns are generated to detect the RP-resistant faults. Approaches.. ROM Compression LFSR Reseeding also LFSR Embedding Deterministic Patterns Decoding Logic Poly. Id Seeds LFSR Scan Chain Bit-Flipping Function Bit-flipping BIST Reseeding with multiplepolynomial LFSR Page Fault Coverage Enhancement Hybrid BIST (Load from Tester) For fault coverage enhancement where a tester is present, deterministic data from the tester can be used to improve the fault coverage. Top-up ATPG Store the deterministic patterns on the tester Page 56 28

29 5.7 BIST Timing Control To test -clock-domain circuits To detect -clock-domain faults and -clock-domain faults Capture-clocking schemes Single-capture Skewed-load Double-capture Page BIST Timing Control One-Hot Single Capture A capture pulse is applied to, while holding test clocks inactive, during each capture window. Benefit: a single and slow scan mode signal Drawback: long test time Shift Window Capture Window Shift Window Capture Window Shift Window CK1 CK2 d1 C1 d2 C2 GSE Page 58 29

30 5.7 BIST Timing Control Staggered Single Capture Benefits: short test time; a and global scan mode signal Drawback: some fault coverage loss if the ordered sequence of capture clocks is for all capture cycles Shift Window Capture Window Shift Window CK1 C1 d1 d2 d3 CK2 C2 GSE Page BIST Timing Control Skewed-Load An at-speed test technique Address -clock-domain faults Three approaches One-hot skewed-load Aligned skewed-load Staggered skewed-load Page 60 30

31 5.7 BIST Timing Control One-Hot Skewed-Load Tests all clock domains one by one by applying -followed by- pulses to detect intra-clock-domain delay faults. Drawbacks: (1) Cannot detect -clock-domain delay faults (2) Test time is long (3) Single and global scan enable (GSE) signal can no longer be used Shift Window Capture Window Shift Window Capture Window Shift Window CK1 SE1 CK2 SE2 S1 C1 d1 S2 C2 d2 Page BIST Timing Control Aligned Skewed-Load S1 S2 S3 C S C1 Capture Window S1 CK1 SE1 CK1 SE1 C2 CK2 SE2 CK2 SE2 C3 CK3 SE3 CK3 SE3 Capture aligned skewed-load Launch aligned skewed-load Benefits: Solve the long test time problem, Test all -clockdomain and -clock-domain faults Drawbacks: Need timing-control Page 62 31

32 5.7 BIST Timing Control Staggered Skewed-Load When two test clocks cannot be precisely, we can simply insert a proper to eliminate the clock skew. The last pulse is used to create a transition and the output responses are caught by the next pulse for each clock domain. This works for clock domains. Drawback: Need at-speed signal for each clock domain CK1 SE1 CK2 SE2 Shift Window Capture Window Shift Window S1 C1 d1 d3 S2 C2 d2 Page BIST Timing Control Double Capture Solve the difficulty using skewed-load True at-speed test Double-capture benefits Detect intra-clock-domain faults and inter-clock-domain faults or faults at-speed Facilitate physical implementation Ease with ATPG Page 64 32

33 5.7 BIST Timing Control One-Hot Double Capture Test all clock domains one by one by applying capture pulses at their respective domains to test intra-clock-domain delay faults. Benefit: true at-speed testing of -clock-domain faults Drawbacks: (1) Cannot detect -clock-domain delay faults (2) Test time is long Shift Window Capture Window Shift Window Capture Window Shift Window C1 C2 CK1 CK2 d1 C3 C4 GSE d2 Page BIST Timing Control Aligned Double-Capture C1 C2 C3 C C Capture Window CK1 CK1 C1 C4 C2 CK2 CK2 C3 CK3 GSE CK3 GSE Aligned double-capture - I Aligned double-capture - II Page 66 33

34 5.7 BIST Timing Control Staggered Double-Capture In the capture window, two capture pulses are generated for each clock domain. The first two capture pulses are used to create at the of scan cells, and the output responses to the transitions are by the next two capture pulses, respectively. Shift Window Capture Window Shift Window CK1 C1 C2 d1 d2 d3 d4 d5 CK2 C3 C4 GSE Page Fault Detection Note: A hybrid double-capture scheme using double-capture and double-capture seems to be the scheme for true at-speed testing Page 68 34

35 5.8 A Design Practice An example of designing a logic BIST system for testing a (core) comprising two clock domains using s38417 and s The two clock domains are taken from the benchmark circuits. Design statistics Page A Design Practice Design Flow BIST Rule and Violation Logic BIST System Design RTL Design Verification and Enhancement Page 70 35

36 5.8 A Design Practice BIST Rule Checking and Violation Repair All DFT rule violations of the design rules and design rules must be repaired. In addition, we should be aware of the following design parameters: The number of test clocks present in the design The number of set/reset clocks present in the design Page A Design Practice Logic BIST System Design The second step is to design the logic BIST system at the RTL, including: The type of logic BIST architecture to adopt The number of (or ) pairs to use The of each (or ) pair The faults to be tested and BIST diagrams to be used The types of to be added Page 72 36

37 5.8 A Design Practice Logic BIST Architecture We choose to implement a -based architecture, since it is easy to integrate with scan/atpg and is used in industry. Logic BIST Controller TPG SCK1 SCK2 PLL CK2 CK1 PRPG1 PS1/SpE1 PRPG2 PS2/SpE2 Data/ Control Input Selector PIs/ SIs Start Finish Result Test Controller CCK1 CCK2 Clock Gating Block TCK1 TCK2 Clock Domain CD1 C Clock Domain CD2 BIST-Ready Core POs/ SOs SpC1 SpC2 MISR1 ORA MISR2 A logic BIST system for testing a design with 2 cores Page A Design Practice TPG and ORA Next, we need to determine the of each PRPG- MISR pair. Using a PRPG-MISR pair for each clock domain allows us to the of each PRPG and MISR. PRPG-MISR Choices Page 74 37

38 5.8 A Design Practice Test Controller The test controller plays a central role in the overall BIST operation. Often, external signals are controlled through an IEEE Standard based test access port (TAP) controller. In order to test faults in the BISTready core, we choose the staggered single-capture approach. TCK1 Shift Window Capture Window Shift Window C1 TCK2 C2 GSE Slow-speed timing control using staggered single-capture Page A Design Practice Asynchronous Clocks In order to test faults in the BIST-ready core, we choose the staggered doublecapture approach if CD1 and CD2 are asynchronous TCK1 TCK2 GSE Shift Window Capture Window Shift Window C1 C2 d C3 C4 Staggered double-capture SE1 BIST mode SE1 Generator CK1 SE2 Generator 2-Pulse Controller SE2 CK2 CK1 TCK1 2-Pulse Controller A daisy-chain clock-triggering circuit CK2 TCK2 Page 76 38

39 5.8 A Design Practice Synchronous Clocks In order to test delay faults in the BIST-ready core, we choose the launch-aligned double-capture approach if CD1 and CD2 are synchronous TCK1 TCK2 GSE Shift Window Capture Window Shift Window C1 C2 C3 C4 Launch aligned double-capture 0 CK TCK1 BIST mode GSE Generator GSE 0 CK CK1 CK2 TCK2 A clock suppression circuit Page A Design Practice Re-Timing Logic We recommend adding two pipelining registers between each and the BIST-ready core, and two additional pipelining registers between the BISTready core and each. In this case, the maximum scan chain length for each clock domain, CD1 or CD2, is effectively increased by, not. Page 78 39

40 5.8 A Design Practice Fault Coverage Enhancing Logic and Diagnostic Logic In order to improve the circuit s fault coverage, we recommend adding and additional logic for top-up ATPG support at the RTL. We also recommend including in the RTL BIST code to facilitate and. Example test modes to be supported by the logic BIST system Page A Design Practice RTL BIST Synthesis At this stage, it is possible to either the logic BIST system or generate the RTL code using a (commercially available) RTL logic BIST tool. In either case, the number of for each clock domain should be specified along with the of their associated scan inputs (SIs) and scan outputs (SOs) without the actual scan chains into the circuit. Page 80 40

41 5.8 A Design Practice Design Verification and Fault Coverage Enhancement Finally, the synthesized netlist needs to be verified with and/or verification. Next, needs to be performed on the pseudo-random patterns generated by the TPG in order to determine the circuit s. Gate-Level Test Point Insertion Test Point Selection at RTL Design No Logic/Scan Synthesis Fault Simulation Coverage Acceptable? Yes Done Fault simulation and test point insertion flow Page 81 41

Chapter 5. Logic Built-In Self-Test. VLSI EE141 Test Principles and Architectures Ch. 5 - Logic BIST - P. 1

Chapter 5. Logic Built-In Self-Test. VLSI EE141 Test Principles and Architectures Ch. 5 - Logic BIST - P. 1 Chapter 5 Logic Built-In Self-Test VLSI EE141 Test Principles and Architectures Ch. 5 - Logic BIST - P. 1 1 What is this chapter about? Introduce the basic concepts of logic BIST BIST Design Rules Test

More information

Testing Digital Systems II

Testing Digital Systems II Testing Digital Systems II Lecture 7: Built-in Self Test (III) Instructor: M. Tahoori Copyright 206, M. Tahoori TDS II: Lecture 7 BIST Architectures Copyright 206, M. Tahoori TDS II: Lecture 7 2 Lecture

More information

VLSI Design Verification and Test BIST II CMPE 646 Space Compaction Multiple Outputs We need to treat the general case of a k-output circuit.

VLSI Design Verification and Test BIST II CMPE 646 Space Compaction Multiple Outputs We need to treat the general case of a k-output circuit. Space Compaction Multiple Outputs We need to treat the general case of a k-output circuit. Test Set L m CUT k LFSR There are several possibilities: Multiplex the k outputs of the CUT. M 1 P(X)=X 4 +X+1

More information

Built-In Self-Test (BIST) Abdil Rashid Mohamed, Embedded Systems Laboratory (ESLAB) Linköping University, Sweden

Built-In Self-Test (BIST) Abdil Rashid Mohamed, Embedded Systems Laboratory (ESLAB) Linköping University, Sweden Built-In Self-Test (BIST) Abdil Rashid Mohamed, abdmo@ida ida.liu.se Embedded Systems Laboratory (ESLAB) Linköping University, Sweden Introduction BIST --> Built-In Self Test BIST - part of the circuit

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET476) Lecture 9 (2) Built-In-Self Test (Chapter 5) Said Hamdioui Computer Engineering Lab Delft University of Technology 29-2 Learning aims Describe the concept and

More information

VLSI System Testing. BIST Motivation

VLSI System Testing. BIST Motivation ECE 538 VLSI System Testing Krish Chakrabarty Built-In Self-Test (BIST): ECE 538 Krish Chakrabarty BIST Motivation Useful for field test and diagnosis (less expensive than a local automatic test equipment)

More information

Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory. National Central University

Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory. National Central University Chapter 3 Basics of VLSI Testing (2) Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory Department of Electrical Engineering National Central University Jhongli, Taiwan Outline Testing Process Fault

More information

Overview: Logic BIST

Overview: Logic BIST VLSI Design Verification and Testing Built-In Self-Test (BIST) - 2 Mohammad Tehranipoor Electrical and Computer Engineering University of Connecticut 23 April 2007 1 Overview: Logic BIST Motivation Built-in

More information

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab. Built-In Self Test 2

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab. Built-In Self Test 2 CMOS INTEGRATE CIRCUIT ESIGN TECHNIUES University of Ioannina Built In Self Test (BIST) ept. of Computer Science and Engineering Y. Tsiatouhas CMOS Integrated Circuit esign Techniques VLSI Systems and

More information

Design for Test. Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective.

Design for Test. Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective. Design for Test Definition: Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective. Types: Design for Testability Enhanced access Built-In

More information

ECE 715 System on Chip Design and Test. Lecture 22

ECE 715 System on Chip Design and Test. Lecture 22 ECE 75 System on Chip Design and Test Lecture 22 Response Compaction Severe amounts of data in CUT response to LFSR patterns example: Generate 5 million random patterns CUT has 2 outputs Leads to: 5 million

More information

Testing Digital Systems II

Testing Digital Systems II Testing Digital Systems II Lecture 5: Built-in Self Test (I) Instructor: M. Tahoori Copyright 2010, M. Tahoori TDS II: Lecture 5 1 Outline Introduction (Lecture 5) Test Pattern Generation (Lecture 5) Pseudo-Random

More information

CMOS Testing-2. Design for testability (DFT) Design and Test Flow: Old View Test was merely an afterthought. Specification. Design errors.

CMOS Testing-2. Design for testability (DFT) Design and Test Flow: Old View Test was merely an afterthought. Specification. Design errors. Design and test CMOS Testing- Design for testability (DFT) Scan design Built-in self-test IDDQ testing ECE 261 Krish Chakrabarty 1 Design and Test Flow: Old View Test was merely an afterthought Specification

More information

for Digital IC's Design-for-Test and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ

for Digital IC's Design-for-Test and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ Design-for-Test for Digital IC's and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ 07458 www.phptr.com ISBN D-13-DflMfla7-l : Ml H Contents Preface Acknowledgments Introduction

More information

Chapter 8 Design for Testability

Chapter 8 Design for Testability 電機系 Chapter 8 Design for Testability 測試導向設計技術 2 Outline Introduction Ad-Hoc Approaches Full Scan Partial Scan 3 Design For Testability Definition Design For Testability (DFT) refers to those design techniques

More information

Testability: Lecture 23 Design for Testability (DFT) Slide 1 of 43

Testability: Lecture 23 Design for Testability (DFT) Slide 1 of 43 Testability: Lecture 23 Design for Testability (DFT) Shaahin hi Hessabi Department of Computer Engineering Sharif University of Technology Adapted, with modifications, from lecture notes prepared p by

More information

K.T. Tim Cheng 07_dft, v Testability

K.T. Tim Cheng 07_dft, v Testability K.T. Tim Cheng 07_dft, v1.0 1 Testability Is concept that deals with costs associated with testing. Increase testability of a circuit Some test cost is being reduced Test application time Test generation

More information

Logic BIST Architecture Using Staggered Launch-on-Shift for Testing Designs Containing Asynchronous Clock Domains

Logic BIST Architecture Using Staggered Launch-on-Shift for Testing Designs Containing Asynchronous Clock Domains 2010 25th International Symposium on Defect and Fault Tolerance in VLSI Systems Logic BIST Architecture Using Staggered Launch-on-Shift for Testing Designs Containing Asynchronous Clock Domains Shianling

More information

Logic BIST for Large Industrial Designs: Real Issues and Case Studies

Logic BIST for Large Industrial Designs: Real Issues and Case Studies Logic BIST for Large Industrial Designs: Real Issues and Case Studies Graham Hetherington and Tony Fryars Nagesh Tamarapalli, Mark Kassab, Abu Hassan, and Janusz Rajski Texas Instruments, Ltd. Mentor Graphics

More information

Diagnosis of Resistive open Fault using Scan Based Techniques

Diagnosis of Resistive open Fault using Scan Based Techniques Diagnosis of Resistive open Fault using Scan Based Techniques 1 Mr. A. Muthu Krishnan. M.E., (Ph.D), 2. G. Chandra Theepa Assistant Professor 1, PG Scholar 2,Dept. of ECE, Regional Office, Anna University,

More information

Design of Fault Coverage Test Pattern Generator Using LFSR

Design of Fault Coverage Test Pattern Generator Using LFSR Design of Fault Coverage Test Pattern Generator Using LFSR B.Saritha M.Tech Student, Department of ECE, Dhruva Institue of Engineering & Technology. Abstract: A new fault coverage test pattern generator

More information

Using on-chip Test Pattern Compression for Full Scan SoC Designs

Using on-chip Test Pattern Compression for Full Scan SoC Designs Using on-chip Test Pattern Compression for Full Scan SoC Designs Helmut Lang Senior Staff Engineer Jens Pfeiffer CAD Engineer Jeff Maguire Principal Staff Engineer Motorola SPS, System-on-a-Chip Design

More information

Instructions. Final Exam CPSC/ELEN 680 December 12, Name: UIN:

Instructions. Final Exam CPSC/ELEN 680 December 12, Name: UIN: Final Exam CPSC/ELEN 680 December 12, 2005 Name: UIN: Instructions This exam is closed book. Provide brief but complete answers to the following questions in the space provided, using figures as necessary.

More information

Lecture 23 Design for Testability (DFT): Full-Scan

Lecture 23 Design for Testability (DFT): Full-Scan Lecture 23 Design for Testability (DFT): Full-Scan (Lecture 19alt in the Alternative Sequence) Definition Ad-hoc methods Scan design Design rules Scan register Scan flip-flops Scan test sequences Overheads

More information

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Final Examination CLOSED BOOK

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Final Examination CLOSED BOOK Department of Electrical and Computer Engineering University of Wisconsin Madison Fall 2014-2015 Final Examination CLOSED BOOK Kewal K. Saluja Date: December 14, 2014 Place: Room 3418 Engineering Hall

More information

Unit 8: Testability. Prof. Roopa Kulkarni, GIT, Belgaum. 29

Unit 8: Testability. Prof. Roopa Kulkarni, GIT, Belgaum. 29 Unit 8: Testability Objective: At the end of this unit we will be able to understand Design for testability (DFT) DFT methods for digital circuits: Ad-hoc methods Structured methods: Scan Level Sensitive

More information

Design and Implementation OF Logic-BIST Architecture for I2C Slave VLSI ASIC Design Using Verilog

Design and Implementation OF Logic-BIST Architecture for I2C Slave VLSI ASIC Design Using Verilog Design and Implementation OF Logic-BIST Architecture for I2C Slave VLSI ASIC Design Using Verilog 1 Manish J Patel, 2 Nehal Parmar, 3 Vishwas Chaudhari 1, 2, 3 PG Students (VLSI & ESD) Gujarat Technological

More information

Design of BIST with Low Power Test Pattern Generator

Design of BIST with Low Power Test Pattern Generator IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 4, Issue 5, Ver. II (Sep-Oct. 2014), PP 30-39 e-issn: 2319 4200, p-issn No. : 2319 4197 Design of BIST with Low Power Test Pattern Generator

More information

DETERMINISTIC SEED RANGE AND TEST PATTERN DECREASE IN LOGIC BIST

DETERMINISTIC SEED RANGE AND TEST PATTERN DECREASE IN LOGIC BIST DETERMINISTIC SEED RANGE AND TEST PATTERN DECREASE IN LOGIC BIST PAVAN KUMAR GABBITI 1*, KATRAGADDA ANITHA 2* 1. Dept of ECE, Malineni Lakshmaiah Engineering College, Andhra Pradesh, India. Email Id :pavankumar.gabbiti11@gmail.com

More information

Lecture 23 Design for Testability (DFT): Full-Scan (chapter14)

Lecture 23 Design for Testability (DFT): Full-Scan (chapter14) Lecture 23 Design for Testability (DFT): Full-Scan (chapter14) Definition Ad-hoc methods Scan design Design rules Scan register Scan flip-flops Scan test sequences Overheads Scan design system Summary

More information

[Krishna*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785

[Krishna*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY DESIGN AND IMPLEMENTATION OF BIST TECHNIQUE IN UART SERIAL COMMUNICATION M.Hari Krishna*, P.Pavan Kumar * Electronics and Communication

More information

Scan. This is a sample of the first 15 pages of the Scan chapter.

Scan. This is a sample of the first 15 pages of the Scan chapter. Scan This is a sample of the first 15 pages of the Scan chapter. Note: The book is NOT Pinted in color. Objectives: This section provides: An overview of Scan An introduction to Test Sequences and Test

More information

A Novel Low Power pattern Generation Technique for Concurrent Bist Architecture

A Novel Low Power pattern Generation Technique for Concurrent Bist Architecture A Novel Low Power pattern Generation Technique for Concurrent Bist Architecture Y. Balasubrahamanyam, G. Leenendra Chowdary, T.J.V.S.Subrahmanyam Research Scholar, Dept. of ECE, Sasi institute of Technology

More information

UNIT IV CMOS TESTING. EC2354_Unit IV 1

UNIT IV CMOS TESTING. EC2354_Unit IV 1 UNIT IV CMOS TESTING EC2354_Unit IV 1 Outline Testing Logic Verification Silicon Debug Manufacturing Test Fault Models Observability and Controllability Design for Test Scan BIST Boundary Scan EC2354_Unit

More information

FOR A DISSERTATION SUBMITTED TO THE DEPARTMENT OF ELECTRICAL ENGINEERING AND THE COMMITTEE ON GRADUATE STUDIES OF STANFORD UNIVERSITY

FOR A DISSERTATION SUBMITTED TO THE DEPARTMENT OF ELECTRICAL ENGINEERING AND THE COMMITTEE ON GRADUATE STUDIES OF STANFORD UNIVERSITY DETERMINISTIC BUILT-IN SELF TEST FOR DIGITAL CIRCUITS A DISSERTATION SUBMITTED TO THE DEPARTMENT OF ELECTRICAL ENGINEERING AND THE COMMITTEE ON GRADUATE STUDIES OF STANFORD UNIVERSITY IN PARTIAL FULFILLMENT

More information

Module 8. Testing of Embedded System. Version 2 EE IIT, Kharagpur 1

Module 8. Testing of Embedded System. Version 2 EE IIT, Kharagpur 1 Module 8 Testing of Embedded System Version 2 EE IIT, Kharagpur 1 Lesson 39 Design for Testability Version 2 EE IIT, Kharagpur 2 Instructional Objectives After going through this lesson the student would

More information

Module 8. Testing of Embedded System. Version 2 EE IIT, Kharagpur 1

Module 8. Testing of Embedded System. Version 2 EE IIT, Kharagpur 1 Module 8 Testing of Embedded System Version 2 EE IIT, Kharagpur Lesson 40 Built-In-Self-Test (BIST) for Embedded Systems Version 2 EE IIT, Kharagpur 2 Instructional Objectives After going through this

More information

Design for Testability

Design for Testability TDTS 01 Lecture 9 Design for Testability Zebo Peng Embedded Systems Laboratory IDA, Linköping University Lecture 9 The test problems Fault modeling Design for testability techniques Zebo Peng, IDA, LiTH

More information

國立清華大學電機系 EE-6250 超大型積體電路測試. VLSI Testing. Chapter 7 Built-In Self-Test. Design-for-Testability

國立清華大學電機系 EE-6250 超大型積體電路測試. VLSI Testing. Chapter 7 Built-In Self-Test. Design-for-Testability 1 國立清華大學電機系 EE-6250 超大型積體電路測試 VLSI Testing Chapter 7 Built-In Self-Test esign-for-testability esign activities for generating a set of test patterns with a high fault coverage. Methodology Logic Automatic

More information

Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test

Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test Mark McDermott Electrical and Computer Engineering The University of Texas at Austin Agenda Introduction to testing Logical

More information

Simulation Mismatches Can Foul Up Test-Pattern Verification

Simulation Mismatches Can Foul Up Test-Pattern Verification 1 of 5 12/17/2009 2:59 PM Technologies Design Hotspots Resources Shows Magazine ebooks & Whitepapers Jobs More... Click to view this week's ad screen [ D e s i g n V i e w / D e s i g n S o lu ti o n ]

More information

Changing the Scan Enable during Shift

Changing the Scan Enable during Shift Changing the Scan Enable during Shift Nodari Sitchinava* Samitha Samaranayake** Rohit Kapur* Emil Gizdarski* Fredric Neuveux* T. W. Williams* * Synopsys Inc., 700 East Middlefield Road, Mountain View,

More information

Final Exam CPSC/ECEN 680 May 2, Name: UIN:

Final Exam CPSC/ECEN 680 May 2, Name: UIN: Final Exam CPSC/ECEN 680 May 2, 2008 Name: UIN: Instructions This exam is closed book. Provide brief but complete answers to the following questions in the space provided, using figures as necessary. Show

More information

E-Learning Tools for Teaching Self-Test of Digital Electronics

E-Learning Tools for Teaching Self-Test of Digital Electronics E-Learning Tools for Teaching Self-Test of Digital Electronics A. Jutman 1, E. Gramatova 2, T. Pikula 2, R. Ubar 1 1 Tallinn University of Technology, Raja 15, 12618 Tallinn, Estonia 2 Institute of Informatics,

More information

VHDL Implementation of Logic BIST (Built In Self Test) Architecture for Multiplier Circuit for High Test Coverage in VLSI Chips

VHDL Implementation of Logic BIST (Built In Self Test) Architecture for Multiplier Circuit for High Test Coverage in VLSI Chips VHDL Implementation of Logic BIST (Built In Self Test) Architecture for Multiplier Circuit for High Test Coverage in VLSI Chips Pushpraj Singh Tanwar, Priyanka Shrivastava Assistant professor, Dept. of

More information

Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques

Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques Akkala Suvarna Ratna M.Tech (VLSI & ES), Department of ECE, Sri Vani School of Engineering, Vijayawada. Abstract: A new

More information

Based on slides/material by. Topic 14. Testing. Testing. Logic Verification. Recommended Reading:

Based on slides/material by. Topic 14. Testing. Testing. Logic Verification. Recommended Reading: Based on slides/material by Topic 4 Testing Peter Y. K. Cheung Department of Electrical & Electronic Engineering Imperial College London!! K. Masselos http://cas.ee.ic.ac.uk/~kostas!! J. Rabaey http://bwrc.eecs.berkeley.edu/classes/icbook/instructors.html

More information

Available online at ScienceDirect. Procedia Computer Science 46 (2015 ) Aida S Tharakan a *, Binu K Mathew b

Available online at  ScienceDirect. Procedia Computer Science 46 (2015 ) Aida S Tharakan a *, Binu K Mathew b Available online at www.sciencedirect.com ScienceDirect Procedia Computer Science 46 (2015 ) 1409 1416 International Conference on Information and Communication Technologies (ICICT 2014) Design and Implementation

More information

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September-2014 917 The Power Optimization of Linear Feedback Shift Register Using Fault Coverage Circuits K.YARRAYYA1, K CHITAMBARA

More information

IMPLEMENTATION OF X-FACTOR CIRCUITRY IN DECOMPRESSOR ARCHITECTURE

IMPLEMENTATION OF X-FACTOR CIRCUITRY IN DECOMPRESSOR ARCHITECTURE IMPLEMENTATION OF X-FACTOR CIRCUITRY IN DECOMPRESSOR ARCHITECTURE SATHISHKUMAR.K #1, SARAVANAN.S #2, VIJAYSAI. R #3 School of Computing, M.Tech VLSI design, SASTRA University Thanjavur, Tamil Nadu, 613401,

More information

This Chapter describes the concepts of scan based testing, issues in testing, need

This Chapter describes the concepts of scan based testing, issues in testing, need Chapter 2 AT-SPEED TESTING AND LOGIC BUILT IN SELF TEST 2.1 Introduction This Chapter describes the concepts of scan based testing, issues in testing, need for logic BIST and trends in VLSI testing. Scan

More information

Design of BIST Enabled UART with MISR

Design of BIST Enabled UART with MISR International Journal of Emerging Engineering Research and Technology Volume 3, Issue 8, August 2015, PP 85-89 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) ABSTRACT Design of BIST Enabled UART with

More information

Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction

Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction Low Illinois Scan Architecture for Simultaneous and Test Data Volume Anshuman Chandra, Felix Ng and Rohit Kapur Synopsys, Inc., 7 E. Middlefield Rd., Mountain View, CA Abstract We present Low Illinois

More information

Testing Digital Systems II

Testing Digital Systems II Testing Digital Systems II Lecture 2: Design for Testability (I) structor: M. Tahoori Copyright 2010, M. Tahoori TDS II: Lecture 2 1 History During early years, design and test were separate The final

More information

Design for Testability Part II

Design for Testability Part II Design for Testability Part II 1 Partial-Scan Definition A subset of flip-flops is scanned. Objectives: Minimize area overhead and scan sequence length, yet achieve required fault coverage. Exclude selected

More information

ISSN (c) MIT Publications

ISSN (c) MIT Publications MIT International Journal of Electronics and Communication Engineering, Vol. 2, No. 2, Aug. 2012, pp. (83-88) 83 BIST- Built in Self Test A Testing Technique Alpana Singh MIT, Moradabad, UP, INDIA Email:

More information

Unit V Design for Testability

Unit V Design for Testability Unit V Design for Testability Outline Testing Logic Verification Silicon Debug Manufacturing Test Fault Models Observability and Controllability Design for Test Scan BIST Boundary Scan Slide 2 Testing

More information

Testing Sequential Logic. CPE/EE 428/528 VLSI Design II Intro to Testing (Part 2) Testing Sequential Logic (cont d) Testing Sequential Logic (cont d)

Testing Sequential Logic. CPE/EE 428/528 VLSI Design II Intro to Testing (Part 2) Testing Sequential Logic (cont d) Testing Sequential Logic (cont d) Testing Sequential Logic CPE/EE 428/528 VLSI Design II Intro to Testing (Part 2) Electrical and Computer Engineering University of Alabama in Huntsville In general, much more difficult than testing combinational

More information

Design and Implementation of Uart with Bist for Low Power Dissipation Using Lp-Tpg

Design and Implementation of Uart with Bist for Low Power Dissipation Using Lp-Tpg IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 6, Issue 3, Ver. II (May. -Jun. 2016), PP 26-31 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Design and Implementation of

More information

Transactions Brief. Circular BIST With State Skipping

Transactions Brief. Circular BIST With State Skipping 668 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 10, NO. 5, OCTOBER 2002 Transactions Brief Circular BIST With State Skipping Nur A. Touba Abstract Circular built-in self-test

More information

Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA

Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA M.V.M.Lahari 1, M.Mani Kumari 2 1,2 Department of ECE, GVPCEOW,Visakhapatnam. Abstract The increasing growth of sub-micron

More information

Design for test methods to reduce test set size

Design for test methods to reduce test set size University of Iowa Iowa Research Online Theses and Dissertations Summer 2018 Design for test methods to reduce test set size Yingdi Liu University of Iowa Copyright 2018 Yingdi Liu This dissertation is

More information

2.6 Reset Design Strategy

2.6 Reset Design Strategy 2.6 Reset esign Strategy Many design issues must be considered before choosing a reset strategy for an ASIC design, such as whether to use synchronous or asynchronous resets, will every flipflop receive

More information

I. INTRODUCTION. S Ramkumar. D Punitha

I. INTRODUCTION. S Ramkumar. D Punitha Efficient Test Pattern Generator for BIST Using Multiple Single Input Change Vectors D Punitha Master of Engineering VLSI Design Sethu Institute of Technology Kariapatti, Tamilnadu, 626106 India punithasuresh3555@gmail.com

More information

SIC Vector Generation Using Test per Clock and Test per Scan

SIC Vector Generation Using Test per Clock and Test per Scan International Journal of Emerging Engineering Research and Technology Volume 2, Issue 8, November 2014, PP 84-89 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) SIC Vector Generation Using Test per Clock

More information

Chip-Level DFT: Some New, And Not So New, Challenges

Chip-Level DFT: Some New, And Not So New, Challenges 2004 Southwest DFT Symposium B A DFT Open Day Chip-Level DFT: Some New, And Not So New, Challenges Ben Bennetts, DFT Consultant Bennetts Associates, UK Tel: +44 1489 581276 E-mail: ben@dft.co.uk http://www.dft.co.uk/

More information

Page 1 of 6 Follow these guidelines to design testable ASICs, boards, and systems. (includes related article on automatic testpattern generation basics) (Tutorial) From: EDN Date: August 19, 1993 Author:

More information

Based on slides/material by. Topic Testing. Logic Verification. Testing

Based on slides/material by. Topic Testing. Logic Verification. Testing Based on slides/material by Topic 4 K. Masselos http://cas.ee.ic.ac.uk/~kostas J. Rabaey http://bwrc.eecs.berkeley.edu/classes/icbook/instructors.html igital Integrated Circuits: A esign Perspective, Prentice

More information

Prototyping an ASIC with FPGAs. By Rafey Mahmud, FAE at Synplicity.

Prototyping an ASIC with FPGAs. By Rafey Mahmud, FAE at Synplicity. Prototyping an ASIC with FPGAs By Rafey Mahmud, FAE at Synplicity. With increased capacity of FPGAs and readily available off-the-shelf prototyping boards sporting multiple FPGAs, it has become feasible

More information

A New Approach to Design Fault Coverage Circuit with Efficient Hardware Utilization for Testing Applications

A New Approach to Design Fault Coverage Circuit with Efficient Hardware Utilization for Testing Applications A New Approach to Design Fault Coverage Circuit with Efficient Hardware Utilization for Testing Applications S. Krishna Chaitanya Department of Electronics & Communication Engineering, Hyderabad Institute

More information

System IC Design: Timing Issues and DFT. Hung-Chih Chiang

System IC Design: Timing Issues and DFT. Hung-Chih Chiang System IC esign: Timing Issues and FT Hung-Chih Chiang Outline SoC Timing Issues Timing terminologies Synchronous vs. asynchronous design Interfaces and timing closure Clocking issues Reset esign for Testability

More information

Techniques for Seed Computation and Testability Enhancement for Logic Built-In Self Test

Techniques for Seed Computation and Testability Enhancement for Logic Built-In Self Test Techniques for Seed Computation and Testability Enhancement for Logic Built-In Self Test Dhrumeel V. Bakshi Thesis submitted to the Faculty of the Virginia Polytechnic Institute and State University in

More information

ECE 407 Computer Aided Design for Electronic Systems. Testing and Design for Testability. Instructor: Maria K. Michael. Overview

ECE 407 Computer Aided Design for Electronic Systems. Testing and Design for Testability. Instructor: Maria K. Michael. Overview 407 Computer Aided Design for Electronic Systems Testing and Design for Testability Instructor: Maria K. Michael MKM - 1 Overview VLSI realization process Role of testing, related cost Basic Digital VLSI

More information

Digital Integrated Circuits Lecture 19: Design for Testability

Digital Integrated Circuits Lecture 19: Design for Testability Digital Integrated Circuits Lecture 19: Design for Testability Chih-Wei Liu VLSI Signal Processing LAB National Chiao Tung University cwliu@twins.ee.nctu.edu.tw DIC-Lec19 cwliu@twins.ee.nctu.edu.tw 1 Outline

More information

Advanced Devices. Registers Counters Multiplexers Decoders Adders. CSC258 Lecture Slides Steve Engels, 2006 Slide 1 of 20

Advanced Devices. Registers Counters Multiplexers Decoders Adders. CSC258 Lecture Slides Steve Engels, 2006 Slide 1 of 20 Advanced Devices Using a combination of gates and flip-flops, we can construct more sophisticated logical devices. These devices, while more complex, are still considered fundamental to basic logic design.

More information

Implementation of BIST Test Generation Scheme based on Single and Programmable Twisted Ring Counters

Implementation of BIST Test Generation Scheme based on Single and Programmable Twisted Ring Counters IOSR Journal of Mechanical and Civil Engineering (IOSR-JMCE) e-issn: 2278-1684, p-issn: 2320-334X Implementation of BIST Test Generation Scheme based on Single and Programmable Twisted Ring Counters N.Dilip

More information

ISSN:

ISSN: 191 Low Power Test Pattern Generator Using LFSR and Single Input Changing Generator (SICG) for BIST Applications A K MOHANTY 1, B P SAHU 2, S S MAHATO 3 Department of Electronics and Communication Engineering,

More information

Research Article Ring Counter Based ATPG for Low Transition Test Pattern Generation

Research Article Ring Counter Based ATPG for Low Transition Test Pattern Generation e Scientific World Journal Volume 205, Article ID 72965, 6 pages http://dx.doi.org/0.55/205/72965 Research Article Ring Counter Based ATPG for Low Transition Test Pattern Generation V. M. Thoulath Begam

More information

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath Objectives Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath In the previous chapters we have studied how to develop a specification from a given application, and

More information

Test-Pattern Compression & Test-Response Compaction. Mango Chia-Tso Chao ( 趙家佐 ) EE, NCTU, Hsinchu Taiwan

Test-Pattern Compression & Test-Response Compaction. Mango Chia-Tso Chao ( 趙家佐 ) EE, NCTU, Hsinchu Taiwan Test-Pattern Compression & Test-Response Compaction Mango Chia-Tso Chao ( 趙家佐 ) EE, NCTU, Hsinchu Taiwan Outline Introduction to Scan-based Testing Input-Pattern Compression Type of compressions Compression

More information

A Novel Method for UVM & BIST Using Low Power Test Pattern Generator

A Novel Method for UVM & BIST Using Low Power Test Pattern Generator A Novel Method for UVM & BIST Using Low Power Test Pattern Generator Boggarapu Kantha Rao 1 ; Ch.swathi 2 & Dr. Murali Malijeddi 3 1 HOD &Assoc Prof, Medha Institute of Science and Technology for Women

More information

LFSR Counter Implementation in CMOS VLSI

LFSR Counter Implementation in CMOS VLSI LFSR Counter Implementation in CMOS VLSI Doshi N. A., Dhobale S. B., and Kakade S. R. Abstract As chip manufacturing technology is suddenly on the threshold of major evaluation, which shrinks chip in size

More information

Testing Sequential Circuits

Testing Sequential Circuits Testing Sequential Circuits 9/25/ Testing Sequential Circuits Test for Functionality Timing (components too slow, too fast, not synchronized) Parts: Combinational logic: faults: stuck /, delay Flip-flops:

More information

Power Problems in VLSI Circuit Testing

Power Problems in VLSI Circuit Testing Power Problems in VLSI Circuit Testing Farhana Rashid and Vishwani D. Agrawal Auburn University Department of Electrical and Computer Engineering 200 Broun Hall, Auburn, AL 36849 USA fzr0001@tigermail.auburn.edu,

More information

Strategies for Efficient and Effective Scan Delay Testing. Chao Han

Strategies for Efficient and Effective Scan Delay Testing. Chao Han Strategies for Efficient and Effective Scan Delay Testing by Chao Han A thesis submitted to the Graduate Faculty of Auburn University in partial fulfillment of the requirements for the Degree of Master

More information

Lecture 18 Design For Test (DFT)

Lecture 18 Design For Test (DFT) Lecture 18 Design For Test (DFT) Xuan Silvia Zhang Washington University in St. Louis http://classes.engineering.wustl.edu/ese461/ ASIC Test Two Stages Wafer test, one die at a time, using probe card production

More information

LFSRs as Functional Blocks in Wireless Applications Author: Stephen Lim and Andy Miller

LFSRs as Functional Blocks in Wireless Applications Author: Stephen Lim and Andy Miller XAPP22 (v.) January, 2 R Application Note: Virtex Series, Virtex-II Series and Spartan-II family LFSRs as Functional Blocks in Wireless Applications Author: Stephen Lim and Andy Miller Summary Linear Feedback

More information

Weighted Random and Transition Density Patterns For Scan-BIST

Weighted Random and Transition Density Patterns For Scan-BIST Weighted Random and Transition Density Patterns For Scan-BIST Farhana Rashid Intel Corporation 1501 S. Mo-Pac Expressway, Suite 400 Austin, TX 78746 USA Email: farhana.rashid@intel.com Vishwani Agrawal

More information

DESIGN FOR TESTABILITY

DESIGN FOR TESTABILITY DESIGN FOR TESTABILITY Raimund Ubar raiub@pld.ttu.ee Design for Testability Lectures Testability of Digital Systems Design for Testability Methods BIST/BISD Practical Works Two laboratory works Course

More information

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits N.Brindha, A.Kaleel Rahuman ABSTRACT: Auto scan, a design for testability (DFT) technique for synchronous sequential circuits.

More information

CSE 352 Laboratory Assignment 3

CSE 352 Laboratory Assignment 3 CSE 352 Laboratory Assignment 3 Introduction to Registers The objective of this lab is to introduce you to edge-trigged D-type flip-flops as well as linear feedback shift registers. Chapter 3 of the Harris&Harris

More information

Implementation of UART with BIST Technique

Implementation of UART with BIST Technique Implementation of UART with BIST Technique Mr.S.N.Shettennavar 1, Mr.B.N.Sachidanand 2, Mr.D.K.Gupta 3, Mr.V.M.Metigoudar 4 1, 2, 3,4Assistant Professor, Dept. of Electronics Engineering, DKTE s Textile

More information

Fpga Implementation of Low Complexity Test Circuits Using Shift Registers

Fpga Implementation of Low Complexity Test Circuits Using Shift Registers Fpga Implementation of Low Complexity Test Circuits Using Shift Registers Mohammed Yasir, Shameer.S (M.Tech in Applied Electronics,MG University College Of Engineering,Muttom,Kerala,India) (M.Tech in Applied

More information

At-speed Testing of SOC ICs

At-speed Testing of SOC ICs At-speed Testing of SOC ICs Vlado Vorisek, Thomas Koch, Hermann Fischer Multimedia Design Center, Semiconductor Products Sector Motorola Munich, Germany Abstract This paper discusses the aspects and associated

More information

LFSR TEST PATTERN FOR FAULT DETECTION AND DIAGNOSIS FOR FPGA CLB CELLS

LFSR TEST PATTERN FOR FAULT DETECTION AND DIAGNOSIS FOR FPGA CLB CELLS LFSR TEST PATTERN FOR FAULT DETECTION AND DIAGNOSIS FOR FPGA CLB CELLS Fazal Noorbasha, K. Harikishore, Ch. Hemanth, A. Sivasairam, V. Vijaya Raju Department of ECE, KL University, Vaddeswaram, Guntur

More information

Survey of Test Vector Compression Techniques

Survey of Test Vector Compression Techniques Tutorial Survey of Test Vector Compression Techniques Nur A. Touba University of Texas at Austin Test data compression consists of test vector compression on the input side and response compaction on the

More information

Controlled Transition Density Based Power Constrained Scan-BIST with Reduced Test Time. Farhana Rashid

Controlled Transition Density Based Power Constrained Scan-BIST with Reduced Test Time. Farhana Rashid Controlled Transition Density Based Power Constrained Scan-BIST with Reduced Test Time by Farhana Rashid A thesis submitted to the Graduate Faculty of Auburn University in partial fulfillment of the requirements

More information

High-Frequency, At-Speed Scan Testing

High-Frequency, At-Speed Scan Testing High-Frequency, At-Speed Scan Testing Xijiang Lin, Ron Press, Janusz Rajski, Paul Reuter, Thomas Rinderknecht, Bruce Swanson, and Nagesh Tamarapalli Mentor Graphics Editor s note: At-speed scan testing

More information

Experiment 8 Introduction to Latches and Flip-Flops and registers

Experiment 8 Introduction to Latches and Flip-Flops and registers Experiment 8 Introduction to Latches and Flip-Flops and registers Introduction: The logic circuits that have been used until now were combinational logic circuits since the output of the device depends

More information

VirtualScan TM An Application Story

VirtualScan TM An Application Story Test Data Compaction Tool from SynTest TM VirtualScan TM An Application Story January 29, 2004 Hiroshi Furukawa SoC No. 3 Group, SoC Development Division 1 Agenda Current Problems What is VirtualScan?

More information