SOFT errors are radiation-induced transient errors caused by

Size: px
Start display at page:

Download "SOFT errors are radiation-induced transient errors caused by"

Transcription

1 1368 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 14, NO. 12, DECEMBER 2006 Sequential Element Design With Built-In Soft Error Resilience Ming Zhang, Member, IEEE, Subhasish Mitra, Senior Member, IEEE, T. M. Mak, Senior Member, IEEE, Norbert Seifert, Senior Member, IEEE, Nicholas J. Wang, Quan Shi, Kee Sup Kim, Member, IEEE, Naresh R. Shanbhag, Fellow, IEEE, and Sanjay J. Patel, Member, IEEE Abstract This paper presents a built-in soft error resilience (BISER) technique for correcting radiation-induced soft errors in latches and flip-flops. The presented error-correcting latch and flip-flop designs are power efficient, introduce minimal speed penalty, and employ reuse of on-chip scan design-for-testability and design-for-debug resources to minimize area overheads. Circuit simulations using a sub-90-nm technology show that the presented designs achieve more than a 20-fold reduction in cell-level soft error rate (SER). Fault injection experiments conducted on a microprocessor model further demonstrate that chip-level SER improvement is tunable by selective placement of the presented error-correcting designs. When coupled with error correction code to protect in-pipeline memories, the BISER flip-flop design improves chip-level SER by 10 times over an unprotected pipeline with the flip-flops contributing an extra % in power. When only soft errors in flips-flops are considered, the BISER technique improves chip-level SER by 10 times with an increased power of 10.3%. The error correction mechanism is configurable (i.e., can be turned on or off) which enables the use of the presented techniques for designs that can target multiple applications with a wide range of reliability requirements. Index Terms Circuit simulation, error correction, fault injection, sequential element design, soft error rate (SER). I. INTRODUCTION SOFT errors are radiation-induced transient errors caused by neutrons from cosmic rays and alpha particles from packaging materials [1]. Soft error protection is very important for enterprise computing and communication applications since the system-level soft error rate (SER) has been rising with technology scaling and increasing system complexity. Several designs today implement extensive error detection and correction (ECC) mainly for on-chip SRAMs and register-files. However, memory protection is not enough for designs manufactured in advanced technologies because soft errors in flip-flops, latches, and combinational logic, also referred to as logic soft errors, are significant contributors to the system-level SER [2], [3]. Logic soft errors pose a major challenge to robust enterprise computing and networking platform designs. For many designs tar- Manuscript received August 30, This work was supported in part by MARCO Gigascale Systems Research Center (GSRC). M. Zhang, T. M. Mak, N. Seifert, Q. Shi, and K. S. Kim are with Intel Corporation, Folsom, CA USA ( ming.y.zhang@intel.com). S. Mitra is with the Department of Electrical Engineering, Stanford University, Stanford, CA USA. N. J. Wang, N. R. Shanbhag, and S. J. Patel are with the Coordinated Science Laboratory, University of Illinois at Urbana-Champaign, Urbana, IL USA. Digital Object Identifier /TVLSI Fig. 1. Circuit schematic of a representative single-port D-latch. geting such platforms, not only the memory elements but also the latches and flip-flops, must be protected from soft errors in order to satisfy the system data integrity requirements. This work presents a new family of sequential element designs with built-in soft error resilience (BISER) that demonstrates four major contributions: 1) error correction technique that achieves a more than 20-fold reduction in the cell-level SER of latches and flip-flops; 2) reuse paradigm that helps lower the power and area penalties of the error correction technique; 3) set of power saving techniques including an economy operation mode; 4) set of fault injection results to illustrate the chip-level effectiveness and power penalty of the BISER technique. The rest of this paper is organized as follows. In Section II, we describe the principle of the new error-correcting designs. Section III describes the reuse paradigm that further reduces the overhead of the presented technique. Section IV presents the key circuit design considerations and the cell-level characterization results. Section V presents the chip-level SER, performance, power, and area impact of the BISER technique based on fault injection results. Other BISER design variations are briefly described in Section VI. Finally, related work and conclusions are described in Sections VII and VIII, respectively. II. ERROR CORRECTION IN SEQUENTIAL ELEMENTS A master slave flip-flop, as implemented in several cyclebased microprocessor designs, is composed of a master latch followed by a slave latch. A representative single-port latch is shown in Fig. 1. The inverter IN1 is used to generate complementary clock signals locally; the transmission gate TG1 allows /$ IEEE

2 ZHANG et al.: SEQUENTIAL ELEMENT DESIGN WITH BISER 1369 Fig. 2. Block diagram of an error-correcting flip-flop design. TABLE I TRUTH TABLE OF THE C-ELEMENT keeper circuit will not cause an error at Q, because both O1 and O2 hold the correct logic values under the SEU assumption, and hence, the Q node is strongly driven by the C-element. Simulations have shown that the error-correcting design can achieve an SER reduction of more than 20-fold when compared to an unprotected flip-flop. More details are provided in Section IV. data at the D pin to flow through when the clock signal CLK is high; the inverter IN3 and tristate inverter TI1 form a regenerative loop to store the sampled data when CLK is low. The key to BISER is a new flip-flop design, composed of two flip-flops joined with a C-element as shown in Fig. 2. To illustrate how error correction is achieved by the C-element, consider the scenario where a particle strikes one of the four latches when CLK is low. Note that only one latch is affected by a particle strike under the assumption of a single event upset (SEU). When CLK is high, latches LB and PH1 are transparent, and the same data is stored in these two latches (assuming that no soft error has affected PH2 or LA). As shown in Table I, the C-element acts as an inverter when the outputs of LB and PH1 match, and the flip-flop output Q has the correct value. When CLK turns low, latches LB and PH1 hold the stored logic value inside their feedback loops. The contents of latches LB and PH1 are now prone to soft errors, while LA and PH2 are not error-prone because they are transparent and driven by the preceding logic stages. Suppose that a particle strike flips the logic value stored in PH1. The two inputs of the C-element will be different but the error will not propagate to the C-element output. Using the same principle, error correction is also enabled during the scenario where a particle strike occurs when CLK is high. The purpose of the keeper circuit in Fig. 2 is to fight the leakage current in the C-element when both the pull-up and the pull-down paths in the C-element are shut off, which occurs only when the content of one bistable gets flipped by a particle strike. Depending on the process technology and the clock frequency, the keeper structure may not be required. A particle strike in the III. REUSE PARADIGM Scan DFT has become a de facto test standard in the industry because it enables an automated solution to high quality production testing at low cost. In addition, scan is extremely valuable for postsilicon debug activities [4] because it provides access to the internal nodes of an integrated circuit. Fig. 3(a) shows the block diagram of a scan flip-flop design of a microprocessor, comprising system and scan portions. Each portion is a master-slave flip-flop composed of two latches. Note that the two-port latches, such as the PH1 block in the system portion or the LA block in the scan portion, can sample from one of the two data lines depending on which clock signal is active. The scan flip-flop in Fig. 3(a) has two operation modes: test and normal. The scan clocks for the test mode are illustrated in Fig. 4. Clocks SCA and SCB are applied along the scan chain [Fig. 3(b)] alternately to shift a test pattern into latches LA and LB. Next, the UPDATE clock is applied to move the contents of LB to PH1. Thus, a test pattern is written into the system portion. Next, functional clock CLK is applied which captures the system s response to the test pattern. Finally, the CAPTURE clock is applied to move the contents of PH1 to LA. The system response can then be scanned out by alternately applying clocks SCA and SCB. During normal system operation, the scan portion is shut off by assigning zero values to the scan clocks (SCA, SCB, UPDATE, and CAPTURE), while the system portion is being clocked at full speed. The main reasons for using this style of scan DFT instead of the classical scan flip-flop with a multiplexer are simplified postsilicon debug and at-speed functional testing. The scan portion of the flip-flop design in Fig. 3

3 1370 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 14, NO. 12, DECEMBER 2006 Fig. 3. (a) Block diagram of a scan flip-flop design. (b) Scan chain. Fig. 4. Clock waveforms for a scan flip-flop in test mode. is sometimes designed to operate at-speed when scan is used for at-speed functional test [5]. A key observation is that scan resources [e.g., latches LA and LB in Fig. 3(a)] are unused during normal operation, but still occupy chip area and consume leakage power. The concept of reusing scan resources for error correction is illustrated in more detail by the error-correcting scan flip-flop design (denoted EC design) in Fig. 5. The EC design is modified based on the scan flip-flop design in Fig. 3 by adding an OR gate to the clock path of LB and an AND gate to the clock path of LA, as well as rerouting the 2-D data port of the latch LA. The EC design has three distinct operation modes: normal, test, and economy. A. Normal and Test Modes When the EC design operates in normal mode, the scan clocks SCA, SCB, UPDATE, and TEST are forced low, while the CAP- TURE signal is high. This equivalently converts the scan portion into a master slave flip-flop that operates in parallel with the system flip-flop. Error correction is then achieved in the same way as described in Section II. The test mode operation of the EC design is activated by forcing the TEST signal high. This ensures that the output of scan portion O2 becomes a don t care to the output of the EC design Q so that the shifting of a test sequence along the scan chain does not interfere with the operation of the EC design. The clock waveforms for the EC design in test mode remain the same, as shown previously in Fig. 4. The EC design requires an extra control signal TEST at the cell level compared to the scan flip-flop. However, at the chip level, the TEST signal is directly generated from the available test circuitry. Moreover, the TEST signal remains static (either high or low) in any operation mode. Minimal buffering and routing is required since there are no strict timing constraints to meet. As a result, the EC design does not increase the number of timing-critical signals in the system, and hence, does not require major architectural changes. B. Economy Mode The economy mode is motivated by the fact that in a modern chip design environment, a single design often targets several application segments at the same time with apparently conflicting requirements. For example, a mobile application (e.g., a laptop) requires very low power consumption but may not have a stringent requirement for low SER. On the other hand, an enterprise application (e.g., data centers) may be less power constrained, but may have to satisfy very stringent data integrity requirements against soft errors. This provides a motivation to introduce an economy mode into the EC design. The system can adaptively switch between the normal and economy modes depending on the criticality of the application. If the application requires high reliability, the system works under normal mode. Otherwise, the system switches into an economy mode, which significantly reduces the power consumption by turning off part of the EC design circuitry. One way to invoke an economy mode for the EC design is to disable their scan portions by assigning proper values to the scan clocks, as illustrated in Fig. 6. The signal CAPTURE is forced low so that the second clock port C2 of latch LA is always low even though the clock signal CLK is still toggling during economy mode. The signal SCB is forced high so that the clock port C1 of latch LB is always high. The combined assignment of CAPTURE and SCB signal values ensures the scan portion, equivalent to a shadow master slave flip-flop, has an opaque master latch and transparent slave latch. As a result, the scan portion does not consume any dynamic power caused by internal data or clock activities. The signal TEST is also forced high so

4 ZHANG et al.: SEQUENTIAL ELEMENT DESIGN WITH BISER 1371 Fig. 5. Error-correcting scan flip-flop design. Fig. 6. Error-correcting scan flip-flop design in economy mode. that the C-element is disabled and the value of Q depends solely on the operation of the system portion. This added economy mode roughly halves the dynamic power consumption of the EC design when it runs noncritical applications. IV. CIRCUIT DESIGN CONSIDERATIONS AND RESULTS The scan portion in a scan flip-flop [Fig. 3(a)] typically operates at a lower speed than the system clock during test mode [4]. As a result of this relaxed timing requirement, slow transistors (those with smaller channel widths, longer channel lengths, or higher threshold voltages) are sometimes used in the scan portion to lower leakage power during normal mode without affecting its functionality in test mode. The scan portion in an EC design, on the other hand, needs to meet the same timing constraints (setup time, CLK-to-Q delay, etc.) as the system flip-flop in order to guarantee the correct operation. This means that transistors at critical locations within the scan portion of the EC design need to be replaced with the same type as those used in the system portion. Due to the presence of both the nmos and pmos stacks in the C-element, it is very difficult to match the delay of a C-element with that of an inverter by only sizing up the transistors. Instead, low- transistors are used in the transistor stacks. Furthermore, the keeper circuit needs to be designed with great care. More specifically, the forward inverter is kept at minimal size while the feedback inverter is intentionally weakened by using a larger channel length value. This minimizes the extra

5 1372 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 14, NO. 12, DECEMBER 2006 TABLE II CELL-LEVEL POWER, AREA, AND SER COMPARISONS when noncritical applications are running. Note that an SER improvement of more than 20-fold is theoretically possible for the EC design but is beyond the resolution of the simulator we used. Fig. 7. Simulation test bench for timing and power measurements. delay caused by contention in the keeper loop when the C-element writes a new value into the keeper circuit. The impact of these circuit modifications are accurately modeled by our simulation methodology, which is described as follows. Comparisons are conducted between the proposed EC design, a reference scan flip-flop (denoted MSFF), and a dual interlocked storage cell [6] flip-flop with scan circuitry (denoted DICE). The DICE design has been chosen for comparison because it is one of the best known classical circuit hardening techniques. Since the circuit styles and transistor sizes may be significantly different across all designs being compared, we adopt a unified approach previously suggested in [7] to analyze the timing and power of the flip-flops under investigation. Fig. 7 illustrates the test bench we used. We assume the external capacitive loads and are both fan-out of 4. We also insert buffers between CLKI (fed by an ideal voltage source) and the CLK pin of the flip-flop, as well as between DI and D. These buffering inverters serve two purposes: 1) provide realistic D and CLK signals to the flip-flop and 2) capture power dissipation differences due to different CLK and D loadings in different flip-flop designs. While optimizing the various flip-flop designs, the objective is to match the timing parameter, D-to-Q delay, with that of the reference design MSFF. We make the following assumptions during the power measurement of all flip-flops: 1) data activity factor (average number of output transitions per clock cycle) is 0.25; 2) low-to-high and high-to-low data transitions are equally likely. The cell layout areas are estimated by an internal tool at Intel, with a worst case error of 5% compared to real layouts. The SERs are obtained from an internal simulator [8]. Table II shows the simulated cell-level power, area, and SER of all the designs. All the measurements are normalized with respect to those of MSFF. The D-to-Q delay parameters are already equalized for all designs and hence not shown. The EC design achieves a 20-fold SER improvement over the reference design (MSFF) with the power and area overhead of 1.43 and 0.17, respectively. This also indicates a 7% power and 26% area savings as compared to the DICE design. As mentioned earlier, these savings are a direct result of reusing existing on-chip resources. Another distinct advantage of the EC design over DICE is the economy mode, which can further lower the overheads V. CHIP-LEVEL RESULTS In this section, we explore the impact of BISER on a microprocessor by conducting a case study. We focus on the impact that BISER has on SER and power consumption, identifying the tradeoff between these two metrics. The chip-level area penalty caused by BISER is also estimated. To conduct this investigation, we adopt the methodology used in [9], which is summarized below. We use a highly detailed register transfer level model of a deeply pipelined, out-of-order microprocessor similar in complexity to the Alpha The fault model is a single bit flip of a state element, either a flip-flop or a RAM cell. In order to understand how various logic blocks in the pipeline contribute to the failure rate of the microarchitecture, each flip-flop or RAM cell in the processor is categorized based on the general function provided by that bit of state. The cumulative error coverage as a function of protected states can then be obtained by means of fault injection experiments. Two fault injection campaigns are then performed to characterize the processor under two scenarios: one where all memories are protected and soft errors affect flip-flops only and the other where soft errors affect both flip-flops and in-pipeline memories. A. Scenario I: Soft Errors Affect Flip-Flops Only To emulate this scenario, faults are only injected into flip-flop states within the processor pipeline because memories are assumed to be protected by ECC, and hence, not affected by soft errors. The error coverage as a function of cumulative flip-flop state coverage is shown in Fig. 8. The chip-level power penalty of selectively applying BISER techniques to flip-flops is also estimated based on the cell-level power, total chip power, and the percentage of protected flip-flops. The power and area penalties are listed together with chip-level SER improvement in Table III. The chip-level SER can be improved by ten times with an increased power of 10.3%. B. Scenario II: Soft Errors Affect Both Flip-Flops and Memories To emulate this scenario, faults are injected into both flip-flop and memory states within the processor pipeline. The error coverage plot is shown in Fig. 9. The raw SERs of flip-flops (denoted ) are different from those of RAM cells (denoted ). Since fault injection was conducted into both

6 ZHANG et al.: SEQUENTIAL ELEMENT DESIGN WITH BISER 1373 TABLE IV CHIP-LEVEL POWER, AREA, AND PERFORMANCE PENALTIES OF BISER AS A FUNCTION OF CHIP-LEVEL SER WHEN SOFT ERRORS AFFECT BOTH FLIP-FLOPS AND IN-PIPELINE MEMORIES Fig. 8. Error coverage versus state coverage when all memories are protected and soft errors affect flip-flops only. TABLE III CHIP-LEVEL POWER AND AREA PENALTIES OF BISER AS A FUNCTION OF CHIP-LEVEL SER WHEN ALL MEMORIES ARE PROTECTED AND SOFT ERRORS AFFECT FLIP-FLOPS ONLY rise abruptly in the beginning because most of the error coverage is achieved by protecting the RAMs in certain logic blocks. The curve corresponding to higher flip-flop SER is lower because flip-flops contribute to more errors in that case. A prediction has been made [10] that flip-flop SER will become larger relative to SRAM SER as process technology advances, meaning it will be more important to protect flip-flops. The chip-level power penalty results are shown in Table IV. A ten-fold improvement in chip-level SER can be achieved by paying 7.0% 10.5% power penalty, depending on the flip-flop SER relative to SRAM SER. The higher the flip-flop SER, the more power penalty is needed to achieve the same chip-level SER improvement. VI. OTHER DESIGN VARIATIONS The same principles of BISER have been employed in various sequential element designs. Examples include error-correcting scanout and mux-scan flip-flops [3], and sequential element designs that correct combinational logic soft errors [11]. We present two additional design variations in this section. Fig. 9. Error coverage versus state coverage when errors affect both flip-flops and in-pipeline memories. flip-flop and RAM states, the error coverage curve depends on the relative SER of flip-flops to RAMs. We consider various scenarios based on the comparative SER study in [10]. The first curve (circle) corresponds to the case where the SER of the flip-flop is the same as that of the RAM cell; the second curve indicates the SER of flip-flop is one-tenth of that of the RAM cell; and so on. All three curves exhibit similar behavior. They start to A. Low-Power EC Design The EC design described in Section V inherits the main features of scan clocks in a scan flip-flop, i.e., all scan clocks (SCA, SCB, CAPTURE, and UPDATE) are globally routed and are not timing critical. A low-power error-correcting design named EC-LP is also possible as shown in Fig. 10. The CAPTURE signal in this design is integrated into the clock generation circuit, instead of being globally routed. This configuration reduces clock loading inside the cell and eliminates one pin, which results in lower power consumption. The main difference between EC-LP and EC designs stems from the clock waveforms in test mode, as illustrated in Fig. 11. Note that the EC-LP design does not have an economy mode. B. Error-Correcting Scan Pulse Latch Latches with pulse clocking, also known as pulse latches, have been used in several microprocessors. For example, on the

7 1374 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 14, NO. 12, DECEMBER 2006 Fig. 10. Low-power error-correcting design. Fig. 11. Clock waveforms for a low-power error-correcting design in test mode. Itanium 2 processor, 95% of all static latches are pulse latches [12]. A pulse latch combines the behavioral merits of both an edge triggered flip-flop and a level sensitive latch. On the one hand, it provides a relatively wider transparency window than that of a flip-flop, which allows cycle stealing and skew tolerance. On the other hand, it maintains edge triggering behavior and avoids the relatively long hold times of a level-sensitive latch. Moreover, a pulse latch has speed, power, and area advantages over its flip-flop counter-part: a pulse latch is faster due to the reduced number of logic gate levels between its input and output, consumes less power due to roughly halved clock loading and reduced data switching activities, and occupies smaller silicon area due to smaller transistor counts. Scan pulse latches have been implemented in a microprocessor for production testing and postsilicon debug purposes [8], [12], [13]. Fig. 12 shows a scan pulse latch with system and scan portions. During test operation, the system and scan portions form a master slave flip-flop to shift the test sequence in and out. During normal operation, SHIFT is assigned a low value so that the system portion is isolated from the scan-in data at SI. Note that data is written from both directions into the latch cell during normal operation. This design eliminates the need for an interrupted feedback inverter, and hence, saves clock power. The design illustrated in Fig. 13 is an error-correcting scan pulse latch. During test mode operation, SCKA and SCKB signals are pulsed high alternately to shift in the test sequence while SHIFT is high. Once the test sequence reaches the Fig. 12. Scan pulse latch design. desired location, the system s response is captured by one or more PCK pulses while SHIFT is low. To shift out the system response, SCKA and SCKB are again alternately pulsed high while SHIFT is kept high and PCK is kept low. During normal mode operation, SCKA, SCKB, and SHIFT signals are kept low while PCK is used to latch system data. An SEU in either the PL1 or PL2 block will be corrected by the C-element as explained earlier. VII. RELATED WORK Prior work for soft error protection in memory and sequential elements can be broadly classified into the following categories: process-level, device-level, circuit-level, and systemlevel techniques. We briefly review and compare some representative techniques in this section. At the process level, silicon-on-sapphire (SOS) and silicon-on-insulator (SOI) technologies have been developed as a soft error mitigation technique for space and military applications. These technologies are immune to radiation-induced

8 ZHANG et al.: SEQUENTIAL ELEMENT DESIGN WITH BISER 1375 Fig. 13. (a) Error-correcting scan pulse latch. (b) Circuit schematics of the PL1 or PL2 block in (a). (c) Circuit schematics of the C block in (a). latch-up as the parasitic p-n-p-n structure does not exist anymore due to full electrical isolation of individual transistors. Moreover, the thin silicon film reduces the charge collection depth, which in turn, lowers the sensitivity to soft errors. Prior work has demonstrated the SER improvement of SRAM devices fabricated on SOI over those on bulk: 2 improvement for the 180-nm node and 5 for the 90-nm node [14]. However, the robustness of an SOI device with a floating body may be compromised by an ionizing particle that triggers the inherent and parasitic bipolar transistors, and hence, results in charge amplification. Employing external body contacts could cure this problem but significantly increases the area penalty. At the device level, rad-hard-by-design (RHBD) techniques have been used to lower a device s sensitivity to radiation. For example, guardbanding around nmos and/or pmos transistors greatly reduces the susceptibility of CMOS circuits to radiationinduced latch-up [15]. However, applying such techniques to an existing standard cell library could be time consuming since the layouts of many devices need to be regenerated. At the circuit level, there are two main approaches to reduce the effects of soft errors: 1) increasing the critical charge of a circuit node and 2) adding transistors to enable redundant storage of information. Capacitive hardening [16], resistive hardening [17], and the use of high-drive transistors have been used to increase the critical charge. These techniques tend to increase the power consumption and lower the speed of the circuits. Redundant circuit techniques include the low power Whitaker cell [18], Barry/Dooley design [19], [20], and DICE design [6]. These redundant transistor-based designs usually require at least twice as many transistors as unprotected circuits, which typically indicates very high area and power penalties. The presented BISER technique overcomes this limitation by reusing exiting on-chip DFT resources. At the system-level, hardware and time redundancy techniques have been proposed to combat soft errors. Classical hardware redundancy techniques include chip-level duplication (as used in HP-Tandem machines [21]), block-level duplication used in IBM Z-Series machines [21], triple modular redundancy [22], parity prediction [23] [25], application-specific error detection techniques [26] [28], DIVA [29] and several others. A major benefit of these techniques is that they do not assume any particular error mechanism, and hence, work for most error sources. However, except for the application-specific error detection techniques, the area and power overheads are generally very high. Major time redundancy techniques include error detection with shifted operands (RESO) [30], redundant execution using spare elements (REESE) [31], multithreading for transient error detection [32] [36], and software implemented hardware fault tolerance (SIHFT) [37], [38]. A key drawback for these techniques is that the performance penalty can be very significant: around 40% for multithreading, and 40% 200% for SIHFT. Furthermore, the power overheads of these techniques are also significant due to redundant execution, although there is a lack of published power overheads. These techniques are mainly applicable for specific designs such as microprocessors.

9 1376 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 14, NO. 12, DECEMBER 2006 VIII. CONCLUSIONS The BISER paradigm presented in this paper is the key to the development of power, performance, and area efficient soft error protection techniques. BISER has the following unique advantages over other major soft error protection techniques: 1) minimal area and power overheads because resources already present for test and debug are reused for soft error resilience; 2) minimal routing overhead; 3) no requirement for major architectural changes; 4) applicability to any digital design (e.g., microprocessors, network processors, ASICs); 5) broad spectrum of design choices suitable for adaptive applications with a wide range of power and performance tradeoffs; 6) additional power saving techniques such as economy mode operation. ACKNOWLEDGMENT The authors would like to thank K. Ganesh, J. Maiz, P. Shipley, A. Vo, S. Walstra, and V. Zia, and from Intel Corporation for their discussions and assistance during the course of this research. REFERENCES [1] R. C. Baumann, Soft errors in advanced semiconductor devices-part I: The three radiation sources, IEEE Trans. Device Mater. Reliab., vol. 1, no. 1, pp , Mar [2] M. Zhang and N. R. Shanbhag, A soft error rate analysis (SERA) methodology, in Proc. IEEE Int. Conf. Comput.-Aided Des., 2004, pp [3] S. Mitra, M. Zhang, T. M. Mak, N. Seifert, V. Zia, and K. S. Kim, Logic soft errors: A major barrier to robust platform design, in Proc. IEEE Int. Test Conf., 2005, pp [4] R. Kuppuswamy, P. DesRosier, D. Feltham, R. Sheikh, and P. Thadikaran, Full hold-scan systems in microprocessors: Cost/benefit analysis, Intel Technol. J., vol. 8, pp , Feb [5] A. Carbine and D. Feltham, Pentium pro processor design for test and debug, in Proc. IEEE Int. Test Conf., 1999, pp [6] T. Calin, M. Nicolaidis, and R. Velazco, Upset hardened memory design for submicron CMOS technology, IEEE Trans. Nucl. Sci., vol. 43, no. 6, pp , Dec [7] V. Stojanovic, V. G. Oklobdzija, and R. Bajwa, A unified approach in the analysis of latches and flip-flops for low power systems, in Proc. Int. Symp. Low Power Electron. Des., 1998, pp [8] N. Seifert, V. Ambrose, P. Shipley, M. Pant, and B. Gill, Radiation induced clock jitter and race, in Proc. Int. Phys. Reliab. Symp., 2005, pp [9] N. J. Wang, J. Quek, T. M. Rafacz, and S. J. Patel, Characterizing the effects of transient faults on a high-performance processor pipeline, in Proc. Int. Conf. Dependable Syst. Netw., 2004, pp [10] R. C. Baumann, The impact of technology scaling on soft error rate performance and limits to the efficacy of error correction, in Dig. IEEE Int. Electron Devices Meeting, 2002, pp [11] S. Mitra, M. Zhang, S. Waqas, N. Seifert, B. Gill, and K. S. Kim, Combinational logic soft error correction, in Proc. IEEE Int. Test Conf., 2006, Paper No [12] S. D. Naffziger, G. Colon-Bonet, T. Fischer, R. Riedlinger, T. J. Sullivan, and T. Grutkowski, The implementation of the Itanium 2 microprocessor, IEEE J. Solid-State Circuits, vol. 37, no. 11, pp , Nov [13] D. D. Josephson, S. Poehlman, V. Govan, and C. Mumford, Test methodology for the McKinley processor, in Proc. Int. Test Conf., 2001, pp [14] P. Roche and G. Gasiot, Impacts of front-end and middle-end process modifications on terrestrial soft error rate, IEEE Trans. Device Mater. Reliab., vol. 5, no. 3, pp , Sep [15] J. V. Osborn, D. C. Mayer, R. C. Lacoe, S. C. Moss, and S. D. LaLumondiere, Single event latchup characteristics of three commercial CMOS processes, in Proc. 7th NASA Symp. VLSI Des., 1998, Paper No [16] STMicroelectronics Press Release, New chip technology from STmicroelectronics eliminates soft error threat to electronic systems, 2003 [Online]. Available: t1394h.htm [17] L. R. Rockett Jr., Simulated SEU hardened scaled CMOS SRAM cell design using gated resistors, IEEE Trans. Nucl. Sci., vol. 39, no. 5, pp , Oct [18] M. N. Liu and S. Whitaker, Low power SEU immune CMOS memory circuits, IEEE Trans. Nucl. Sci., vol. 39, no. 6, pp , Dec [19] M. J. Barry, Radiation resistant SRAM memory cell, U.S. Patent , Oct. 20, [20] J. G. Dooley, SER-immune latch for gate array, standard cell, and other ASIC applications, U.S. Patent , May 10, [21] W. Bartlett and L. Spainhower, Commercial fault tolerance: A tale of two systems, IEEE Trans. Dependable Secure Comput., vol. 1, no. 1, pp , Jan [22] D. P. Siewiorek and R. S. Swarz, Reliable Computer Systems Design and Evaluation, 3rd ed. Natick, MA: A. K. Peters, [23] K. Mohanram, E. S. Sogomonyan, M. Gossel, and N. A. Touba, Synthesis of low-cost parity-based partially self-checking circuits, in Proc. IEEE On-Line Testing Symp., 2003, pp [24] N. A. Touba and E. J. McCluskey, Logic synthesis of multilevel circuits with concurrent error detection, IEEE Trans. Comput.-Aided Des., vol. 16, no. 7, pp , Jul [25] C. Zeng, N. R. Saxena, and E. J. McCluskey, Finite state machine synthesis with concurrent error detection, in Proc. Int. Test Conf., 1999, pp [26] K. H. Huang and J. A. Abraham, Algorithm based fault tolerance for matrix operations, IEEE Trans. Comput., vol. C-33, no. 6, pp , Jun [27] W. J. Huang, N. R. Saxena, and E. J. McCluskey, A reliable LZ data compressor on reconfigurable coprocessors, in Proc. IEEE Field Program. Custom Comput. Mach., 2000, pp [28] J. Y. Jou and J. A. Abraham, Fault-tolerant FFT networks, IEEE Trans. Comput., vol. 37, no. 5, pp , May [29] T. M. Austin, DIVA: A reliable substrate for deep submicron microarchitecture design, in Proc. Int. Symp. Microarch., 1999, pp [30] J. H. Patel and L. Y. Fung, Concurrent error detection in ALUs by recomputing with shifted operands, IEEE Trans. Comput., vol. C-31, no. 7, pp , Jul [31] J. B. Nickel and A. K. Somani, REESE: A method of soft error detection in microprocessors, in Proc. Int. Conf. Dependable Syst. Netw., 2001, pp [32] E. Rotenberg, AR-SMT: A microarchitectural approach to fault tolerance in microprocessors, in Proc. Int. Symp. Fault-Tolerant Comput., 1999, pp [33] N. R. Saxena, S. Fernandez-Gomez, W. Huang, S. Mitra, S. Yu, and E. J. McCluskey, Dependable computing and online testing in adaptive and configurable systems, IEEE Des. Test. Comput., vol. 17, no. 1, pp , Jan [34] J. Ray, J. C. Hoe, and B. Falsafi, Dual use of superscalar datapath for transient-fault detection and recovery, in Proc. Int. Symp. Microarch., 2001, pp [35] S. S. Mukherjee, M. Kontz, and S. K. Reinhardt, Detailed design and evaluation of redundant multi-threading alternatives, in Proc. Int. Symp. Comput. Arch., 2002, pp [36] T. N. Vijaykumar, I. Pomeranz, and K. Cheng, Transient-fault recovery using simultaneous multithreading, in Proc. Int. Symp. Comput. Arch., 2002, pp [37] N. Oh, P. P. Shirvani, and E. McCluskey, Error detection by duplicated instructions in super-scalar processors, IEEE Trans. Reliab., vol. 51, no. 1, pp , Mar [38] N. Oh, S. Mitra, and E. J. McCluskey, ED4I: Error detection by diverse data and duplicated instructions, IEEE Trans. Comput., vol. 51, no. 2, pp , Feb

10 ZHANG et al.: SEQUENTIAL ELEMENT DESIGN WITH BISER 1377 Ming Zhang (S 06 M 07) received the B.S. degree in physics from Peking University, Beijing, China, in 1999 and the M.S. and Ph.D. degrees in electrical engineering from the University of Illinois at Urbana- Champaign (UIUC), Urbana, in 2001 and 2006, respectively. He is currently a Staff Computer-Aided Design (CAD) Engineer with Intel Corporation, Folsom, CA. From 1999 to 2001, he developed microelectromechanical systems for nanolithography applications at the Microelectronics Laboratory of UIUC. From 2004 to 2005, he interned at Intel Corporation and developed soft error resilient circuits and fault-tolerant architectures. His Ph.D. research work included a soft error rate analysis methodology and various classes of soft-error tolerant circuit design techniques. His current research interests include error-resilient and low-power circuits, variation and degradation-tolerant circuits and architectures, and circuit/architecture design for nanotechnology. He has published more than twenty technical papers and holds seven issued or pending U.S. patents. He serves on the program committees of several IEEE conferences and symposia. Dr. Zhang was a recipient of the M. E. Van Valkenburg Research Award for demonstrated excellence in circuit and system research and the University Award for excellence in teaching an undergraduate-level circuit class. Subhasish Mitra (SM 06) is an Assistant Professor in the Departments of Electrical Engineering and Computer Science, Stanford University, Stanford, CA. His research interests include robust system design, VLSI design and test, computer-aided design (CAD), fault-tolerant computing, and computer architecture. Prior to joining Stanford, he was a Principal Engineer at Intel Corporation, Hillsboro, OR, where he was responsible for developing enabling technologies for robust system design Design for Excellence (Reliability, Testability, and Debug) in advanced technologies. He has published more than 90 technical papers in leading conferences and journals, and invented design and test techniques that have shown wide-spread proliferation in the industry. His X-Compact technique for test compression is being used by more than 40 Intel products, and is supported by major CAD tools. Dr. Mitra was a recipient of the IEEE Circuits and Systems Society Donald O. Pederson Award for the best paper published in the IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, a Best Paper Award at the Intel Design and Test Technology Conference for his work on built-in soft error resilience, a Best Paper Award nomination at the Design Automation Conference, a Divisional Recognition Award from the Intel Mobility Group for a breakthrough soft error protection technology, Terman Fellowship from the Stanford School of Engineering, the Sundaram Seshu Scholar Lecturer at the Coordinated Science Laboratory of the University of Illinois at Urbana Champaign, and the Intel Achievement Award, Intel s highest honor, for the development and deployment of a breakthrough test compression technology that achieved an order of magnitude reduction in scan test cost. He has held consulting positions at several companies, and serves on the organizing and program committees of several IEEE and ACM sponsored conferences, symposia, and workshops. T. M. Mak (SM 01) received the B.S.E.E. degree from Hong Kong Polytechnic University, Hong Kong, in He is a Research Scientist with the Design Technology Solution Group, Intel Corporation, Santa Clara, CA, carrying out test research. He is currently serving a second term assignment to mentor MARCO/Focus Center Research Program (FCRP) research. He has been with Intel for over 22 years and has worked on a variety of areas including test development, product engineering, design automation, and design for test. His current research interests range from defect-based testing, fault effects as a result of nanometer technology, circuit level and physical design test issues, I/O interface and analog testing, and fault tolerant and online testing. He currently holds eight patents with seven more pending. He had served on the program committees of various conferences and workshops. Dr. Mak was a recipient of the SRC Outstanding Industrial Mentor Award in both 1997 and 2004 and the Best Paper Award at the International Test Conference in 2004 and a Best Panel Award from VTS in Norbert Seifert (SM 04) received the M.S. degree in physics from Vanderbilt University, Nashville, TN, in 1994, and the Diplom Ingenieur and Ph.D. degrees in physics from the Technical University of Vienna, Vienna, Austria, in 1990 and 1993, respectively. He is currently a Staff Reliability and Design Engineer with Intel Corporation, Hillsboro, OR, where he is responsible for developing a coherent chip-level SER methodology. He is also studying the impact of NBTI on circuit and system performance. He worked in TCAD and circuit design in the Alpha Development Group (DEC/Compaq/HP) from 1997 to Prior to joining DEC, he studied charge transfer processes in atomic collisions as a postdoctoral associate at North Carolina State University, Raleigh, and computational fluid dynamics of high-power laser material processing as a postdoctoral associate at the Technical University of Vienna. He has worked extensively on the interaction of radiation with matter, in general, and on the response of digital circuits in particular. He has published more than 30 technical papers on this topic and has presented soft error tutorials at several reliability conferences. He actively serves on the organizing and program committees at several IEEE sponsored conferences. He is a frequent reviewer for leading reliability journals and is a co-editor of the September, 2005, IEEE TRANSACTIONS DEVICE AND MATERIALS RELIABILITY Special Issue on Soft Errors and Data Integrity in Terrestrial Computer Systems. Nicholas J. Wang received the M.S. and B.S. degrees in electrical and computer engineering from the University of Illinois Urbana-Champaign, Urbana Champaign, where he is currently pursuing the Ph.D. degree in electrical and computer engineering. His research interests include fault-tolerant computer architectures. Quan Shi received the B.S. and M.S. degrees in radio electronics from Beijing Normal University, Beijing, China, in 1986 and 1989, respectively, and the Ph.D. degree in electrical engineering from University of New Mexico, Albuqueque, in He is currently a Design Engineer with Intel, Hillsboro, OR. Before joining Intel, he was with NASA Institute of Advanced MicroElectronics in Albuquerque, NM. His research interests include circuit hardening techniques, circuit reliability, circuit modeling, and asynchronous circuits. Kee Sup Kim (M 92) received the Ph.D. degree from the University of Wisconsin-Madison, Madison. Currently, he is Director of DFX at Mobility Group at Intel Corporation, Hillsboro, OR, where he is in charge of developing solutions for design for testability, manufacturability, debug, and reliability for communications products. Previously, he worked on various aspects of testing and DFT for Intel CPU products. He served as an organizing committee member for International Conference on Computer Design. Dr. Kim was a co-recipient of the IEEE Donald O. Peterson Award for his work in test compression.

11 1378 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 14, NO. 12, DECEMBER 2006 Naresh R. Shanbhag (F 06) received the Ph.D. degree in electrical engineering from the University of Minnesota, Minneapolis, in Since August 1995, he has been with the Department of Electrical and Computer Engineering, and the Coordinated Science Laboratory, University of Illinois at Urbana-Champaign, Urbana, where he is presently a Professor. From 1993 to 1995, he worked at AT&T Bell Laboratories, Murray Hill, NJ, where he was the lead chip architect for AT&T s Mb/s transceiver chips over twisted-pair wiring for Asynchronous Transfer Mode (ATM)-LAN and very high-speed digital subscriber line (VDSL) chip-sets. His research interests include the design of integrated circuits and systems for broadband communications including low-power/high-performance VLSI architectures for error-control coding, equalization, as well as digital integrated circuit design. He has published more than 90 journal articles, book chapters, and conference publications in this area and holds three U.S. patents. He is also a co-author of the research monograph Pipelined Adaptive Digital Filters (Kluwer, 1994). From , he was a Distinguished Lecturer for the IEEE Circuits and Systems Society. From and from , he served as an Associate Editor for the IEEE TRANSACTION ON CIRCUITS AND SYSTEMS PART II: EXPRESS BRIEFS and the IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, respectively. He has served on the technical program committees of various conferences. He is also a co-founder and the Chief Technology Officer of Intersymbol Communications, Inc., (a wholly owned subsidiary of Kodeos Communications, Inc., since March 2006) Champaign, IL, which was founded in 2000, and where he provides strategic directions in the development of mixed-signal receivers for next generation optical fiber links. Dr. Shanbhag was a recipient of the IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS Best Paper Award in 2001, the IEEE Leon K. Kirchmayer Best Paper Award in 1999, the Xerox Faculty Award in 1999, the National Science Foundation CAREER Award in 1996, and the Darlington Best Paper Award from the IEEE Circuits and Systems Society in Sanjay J. Patel (M 99) received the B.S., M.S., and Ph.D. degrees in computer science and engineering from the University of Michigan, Ann Arbor, in 1990, 1992, and 1999, respectively. Currently, he is an Associate Professor in the Electrical and Computer Engineering Department and a Willett Faculty Scholar, University of Illinois at Urbana-Champaign, Urbana. He is also serving as Chief Architect at AGEIA Technologies, St. Louis, MO. His research interests include processor microarchitecture, computer architecture, and high performance and reliable computer systems. He has worked with architecture, hardware verification, logic design, and performance modeling at Digital Equipment Corporation, Intel Corporation, and HAL Computer Systems, as well as provided consultation for Transmeta, Jet Propulsion Laboratory, HAL, Intel, and AGEIA Technologies. Dr. Patel is a member of the IEEE Computer Society.

Soft Error Resilient System Design through Error Correction

Soft Error Resilient System Design through Error Correction Soft Error Resilient System Design through Error Correction Subhasish Mitra *, Ming Zhang +, Norbert Seifert +, TM Mak +, Kee Sup Kim + * Stanford University + Intel Corporation Abstract. This paper presents

More information

CSER: BISER-Based Concurrent Soft-Error Resilience

CSER: BISER-Based Concurrent Soft-Error Resilience 2 28th IEEE VL Test Symposium CR: BIR-Based Concurrent Soft-Error Resilience, 3 Laung-Terng Wang, 2 Nur A. Touba, Zhigang Jiang, Shianling Wu, 3 Jiun-Lang Huang, and 3 James Chien-Mo Li SynTest Technologies,

More information

Soft errors, also called single-event upsets. Robust System Design with Built-In Soft-Error Resilience

Soft errors, also called single-event upsets. Robust System Design with Built-In Soft-Error Resilience COVER FEATURE Robust System Design with Built-In Soft-Error Resilience Transient errors caused by terrestrial radiation pose a major barrier to robust system design. A system s susceptibility to such errors

More information

An FPGA Implementation of Shift Register Using Pulsed Latches

An FPGA Implementation of Shift Register Using Pulsed Latches An FPGA Implementation of Shift Register Using Pulsed Latches Shiny Panimalar.S, T.Nisha Priscilla, Associate Professor, Department of ECE, MAMCET, Tiruchirappalli, India PG Scholar, Department of ECE,

More information

Abstract 1. INTRODUCTION. Cheekati Sirisha, IJECS Volume 05 Issue 10 Oct., 2016 Page No Page 18532

Abstract 1. INTRODUCTION. Cheekati Sirisha, IJECS Volume 05 Issue 10 Oct., 2016 Page No Page 18532 www.ijecs.in International Journal Of Engineering And Computer Science ISSN: 2319-7242 Volume 5 Issue 10 Oct. 2016, Page No. 18532-18540 Pulsed Latches Methodology to Attain Reduced Power and Area Based

More information

HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP

HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP 1 R.Ramya, 2 C.Hamsaveni 1,2 PG Scholar, Department of ECE, Hindusthan Institute Of Technology,

More information

Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Lecture No. # 29 Minimizing Switched Capacitance-III. (Refer

More information

A Modified Static Contention Free Single Phase Clocked Flip-flop Design for Low Power Applications

A Modified Static Contention Free Single Phase Clocked Flip-flop Design for Low Power Applications JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.8, NO.5, OCTOBER, 08 ISSN(Print) 598-657 https://doi.org/57/jsts.08.8.5.640 ISSN(Online) -4866 A Modified Static Contention Free Single Phase Clocked

More information

DESIGN AND SIMULATION OF A CIRCUIT TO PREDICT AND COMPENSATE PERFORMANCE VARIABILITY IN SUBMICRON CIRCUIT

DESIGN AND SIMULATION OF A CIRCUIT TO PREDICT AND COMPENSATE PERFORMANCE VARIABILITY IN SUBMICRON CIRCUIT DESIGN AND SIMULATION OF A CIRCUIT TO PREDICT AND COMPENSATE PERFORMANCE VARIABILITY IN SUBMICRON CIRCUIT Sripriya. B.R, Student of M.tech, Dept of ECE, SJB Institute of Technology, Bangalore Dr. Nataraj.

More information

PERFORMANCE ANALYSIS OF AN EFFICIENT PULSE-TRIGGERED FLIP FLOPS FOR ULTRA LOW POWER APPLICATIONS

PERFORMANCE ANALYSIS OF AN EFFICIENT PULSE-TRIGGERED FLIP FLOPS FOR ULTRA LOW POWER APPLICATIONS Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology ISSN 2320 088X IMPACT FACTOR: 5.258 IJCSMC,

More information

Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register

Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register International Journal for Modern Trends in Science and Technology Volume: 02, Issue No: 10, October 2016 http://www.ijmtst.com ISSN: 2455-3778 Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift

More information

Design of a Low Power and Area Efficient Flip Flop With Embedded Logic Module

Design of a Low Power and Area Efficient Flip Flop With Embedded Logic Module IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 10, Issue 6, Ver. II (Nov - Dec.2015), PP 40-50 www.iosrjournals.org Design of a Low Power

More information

Power Optimization by Using Multi-Bit Flip-Flops

Power Optimization by Using Multi-Bit Flip-Flops Volume-4, Issue-5, October-2014, ISSN No.: 2250-0758 International Journal of Engineering and Management Research Page Number: 194-198 Power Optimization by Using Multi-Bit Flip-Flops D. Hazinayab 1, K.

More information

Modifying the Scan Chains in Sequential Circuit to Reduce Leakage Current

Modifying the Scan Chains in Sequential Circuit to Reduce Leakage Current IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 3, Issue 1 (Sep. Oct. 2013), PP 01-09 e-issn: 2319 4200, p-issn No. : 2319 4197 Modifying the Scan Chains in Sequential Circuit to Reduce Leakage

More information

Self Restoring Logic (SRL) Cell Targets Space Application Designs

Self Restoring Logic (SRL) Cell Targets Space Application Designs TND6199/D Rev. 0, SEPT 2015 Self Restoring Logic (SRL) Cell Targets Space Application Designs Semiconductor Components Industries, LLC, 2015 September, 2015 Rev. 0 1 Publication Order Number: TND6199/D

More information

AN EFFICIENT LOW POWER DESIGN FOR ASYNCHRONOUS DATA SAMPLING IN DOUBLE EDGE TRIGGERED FLIP-FLOPS

AN EFFICIENT LOW POWER DESIGN FOR ASYNCHRONOUS DATA SAMPLING IN DOUBLE EDGE TRIGGERED FLIP-FLOPS AN EFFICIENT LOW POWER DESIGN FOR ASYNCHRONOUS DATA SAMPLING IN DOUBLE EDGE TRIGGERED FLIP-FLOPS NINU ABRAHAM 1, VINOJ P.G 2 1 P.G Student [VLSI & ES], SCMS School of Engineering & Technology, Cochin,

More information

UNIT III COMBINATIONAL AND SEQUENTIAL CIRCUIT DESIGN

UNIT III COMBINATIONAL AND SEQUENTIAL CIRCUIT DESIGN UNIT III COMBINATIONAL AND SEQUENTIAL CIRCUIT DESIGN Part A (2 Marks) 1. What is a BiCMOS? BiCMOS is a type of integrated circuit that uses both bipolar and CMOS technologies. 2. What are the problems

More information

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath Objectives Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath In the previous chapters we have studied how to develop a specification from a given application, and

More information

Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky,

Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky, Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky, tomott}@berkeley.edu Abstract With the reduction of feature sizes, more sources

More information

Low-Power and Area-Efficient Shift Register Using Pulsed Latches

Low-Power and Area-Efficient Shift Register Using Pulsed Latches Low-Power and Area-Efficient Shift Register Using Pulsed Latches G.Sunitha M.Tech, TKR CET. P.Venkatlavanya, M.Tech Associate Professor, TKR CET. Abstract: This paper proposes a low-power and area-efficient

More information

A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY

A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY Ms. Chaitali V. Matey 1, Ms. Shraddha K. Mendhe 2, Mr. Sandip A.

More information

International Journal of Emerging Technologies in Computational and Applied Sciences (IJETCAS)

International Journal of Emerging Technologies in Computational and Applied Sciences (IJETCAS) International Association of Scientific Innovation and Research (IASIR) (An Association Unifying the Sciences, Engineering, and Applied Research) International Journal of Emerging Technologies in Computational

More information

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL Random Access Scan Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL ramamve@auburn.edu Term Paper for ELEC 7250 (Spring 2005) Abstract: Random Access

More information

II. ANALYSIS I. INTRODUCTION

II. ANALYSIS I. INTRODUCTION Characterizing Dynamic and Leakage Power Behavior in Flip-Flops R. Ramanarayanan, N. Vijaykrishnan and M. J. Irwin Dept. of Computer Science and Engineering Pennsylvania State University, PA 1682 Abstract

More information

Gated Driver Tree Based Power Optimized Multi-Bit Flip-Flops

Gated Driver Tree Based Power Optimized Multi-Bit Flip-Flops International Journal of Emerging Engineering Research and Technology Volume 2, Issue 4, July 2014, PP 250-254 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) Gated Driver Tree Based Power Optimized Multi-Bit

More information

11. Sequential Elements

11. Sequential Elements 11. Sequential Elements Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 October 11, 2017 ECE Department, University of Texas at Austin

More information

REDUCING DYNAMIC POWER BY PULSED LATCH AND MULTIPLE PULSE GENERATOR IN CLOCKTREE

REDUCING DYNAMIC POWER BY PULSED LATCH AND MULTIPLE PULSE GENERATOR IN CLOCKTREE Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 3, Issue. 5, May 2014, pg.210

More information

Scan. This is a sample of the first 15 pages of the Scan chapter.

Scan. This is a sample of the first 15 pages of the Scan chapter. Scan This is a sample of the first 15 pages of the Scan chapter. Note: The book is NOT Pinted in color. Objectives: This section provides: An overview of Scan An introduction to Test Sequences and Test

More information

Dual Edge Adaptive Pulse Triggered Flip-Flop for a High Speed and Low Power Applications

Dual Edge Adaptive Pulse Triggered Flip-Flop for a High Speed and Low Power Applications International Journal of Scientific and Research Publications, Volume 5, Issue 10, October 2015 1 Dual Edge Adaptive Pulse Triggered Flip-Flop for a High Speed and Low Power Applications S. Harish*, Dr.

More information

data and is used in digital networks and storage devices. CRC s are easy to implement in binary

data and is used in digital networks and storage devices. CRC s are easy to implement in binary Introduction Cyclic redundancy check (CRC) is an error detecting code designed to detect changes in transmitted data and is used in digital networks and storage devices. CRC s are easy to implement in

More information

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits N.Brindha, A.Kaleel Rahuman ABSTRACT: Auto scan, a design for testability (DFT) technique for synchronous sequential circuits.

More information

Design of Fault Coverage Test Pattern Generator Using LFSR

Design of Fault Coverage Test Pattern Generator Using LFSR Design of Fault Coverage Test Pattern Generator Using LFSR B.Saritha M.Tech Student, Department of ECE, Dhruva Institue of Engineering & Technology. Abstract: A new fault coverage test pattern generator

More information

Leakage Current Reduction in Sequential Circuits by Modifying the Scan Chains

Leakage Current Reduction in Sequential Circuits by Modifying the Scan Chains eakage Current Reduction in Sequential s by Modifying the Scan Chains Afshin Abdollahi University of Southern California (3) 592-3886 afshin@usc.edu Farzan Fallah Fujitsu aboratories of America (48) 53-4544

More information

Figure.1 Clock signal II. SYSTEM ANALYSIS

Figure.1 Clock signal II. SYSTEM ANALYSIS International Journal of Advances in Engineering, 2015, 1(4), 518-522 ISSN: 2394-9260 (printed version); ISSN: 2394-9279 (online version); url:http://www.ijae.in RESEARCH ARTICLE Multi bit Flip-Flop Grouping

More information

Design of New Dual Edge Triggered Sense Amplifier Flip-Flop with Low Area and Power Efficient

Design of New Dual Edge Triggered Sense Amplifier Flip-Flop with Low Area and Power Efficient Design of New Dual Edge Triggered Sense Amplifier Flip-Flop with Low Area and Power Efficient Ms. Sheik Shabeena 1, R.Jyothirmai 2, P.Divya 3, P.Kusuma 4, Ch.chiranjeevi 5 1 Assistant Professor, 2,3,4,5

More information

Reduction of Area and Power of Shift Register Using Pulsed Latches

Reduction of Area and Power of Shift Register Using Pulsed Latches I J C T A, 9(13) 2016, pp. 6229-6238 International Science Press Reduction of Area and Power of Shift Register Using Pulsed Latches Md Asad Eqbal * & S. Yuvaraj ** ABSTRACT The timing element and clock

More information

EFFICIENT DESIGN OF SHIFT REGISTER FOR AREA AND POWER REDUCTION USING PULSED LATCH

EFFICIENT DESIGN OF SHIFT REGISTER FOR AREA AND POWER REDUCTION USING PULSED LATCH EFFICIENT DESIGN OF SHIFT REGISTER FOR AREA AND POWER REDUCTION USING PULSED LATCH 1 Kalaivani.S, 2 Sathyabama.R 1 PG Scholar, 2 Professor/HOD Department of ECE, Government College of Technology Coimbatore,

More information

A Low Power Delay Buffer Using Gated Driver Tree

A Low Power Delay Buffer Using Gated Driver Tree IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) ISSN: 2319 4200, ISBN No. : 2319 4197 Volume 1, Issue 4 (Nov. - Dec. 2012), PP 26-30 A Low Power Delay Buffer Using Gated Driver Tree Kokkilagadda

More information

DESIGN OF LOW POWER TEST PATTERN GENERATOR

DESIGN OF LOW POWER TEST PATTERN GENERATOR International Journal of Electronics, Communication & Instrumentation Engineering Research and Development (IJECIERD) ISSN(P): 2249-684X; ISSN(E): 2249-7951 Vol. 4, Issue 1, Feb 2014, 59-66 TJPRC Pvt.

More information

LOW POWER DOUBLE EDGE PULSE TRIGGERED FLIP FLOP DESIGN

LOW POWER DOUBLE EDGE PULSE TRIGGERED FLIP FLOP DESIGN INTERNATIONAL JOURNAL OF RESEARCH IN COMPUTER APPLICATIONS AND ROBOTICS ISSN 2320-7345 LOW POWER DOUBLE EDGE PULSE TRIGGERED FLIP FLOP DESIGN G.Swetha 1, T.Krishna Murthy 2 1 Student, SVEC (Autonomous),

More information

ISSN Vol.08,Issue.24, December-2016, Pages:

ISSN Vol.08,Issue.24, December-2016, Pages: ISSN 2348 2370 Vol.08,Issue.24, December-2016, Pages:4666-4671 www.ijatir.org Design and Analysis of Shift Register using Pulse Triggered Latches N. NEELUFER 1, S. RAMANJI NAIK 2, B. SURESH BABU 3 1 PG

More information

Design Low-Power and Area-Efficient Shift Register using SSASPL Pulsed Latch

Design Low-Power and Area-Efficient Shift Register using SSASPL Pulsed Latch Design Low-Power and Area-Efficient Shift Register using SSASPL Pulsed Latch 1 D. Sandhya Rani, 2 Maddana, 1 PG Scholar, Dept of VLSI System Design, Geetanjali college of engineering & technology, 2 Hod

More information

SYNCHRONOUS DERIVED CLOCK AND SYNTHESIS OF LOW POWER SEQUENTIAL CIRCUITS *

SYNCHRONOUS DERIVED CLOCK AND SYNTHESIS OF LOW POWER SEQUENTIAL CIRCUITS * SYNCHRONOUS DERIVED CLOCK AND SYNTHESIS OF LOW POWER SEUENTIAL CIRCUITS * Wu Xunwei (Department of Electronic Engineering Hangzhou University Hangzhou 328) ing Wu Massoud Pedram (Department of Electrical

More information

Improve Performance of Low-Power Clock Branch Sharing Double-Edge Triggered Flip-Flop

Improve Performance of Low-Power Clock Branch Sharing Double-Edge Triggered Flip-Flop Sumant Kumar et al. 2016, Volume 4 Issue 1 ISSN (Online): 2348-4098 ISSN (Print): 2395-4752 International Journal of Science, Engineering and Technology An Open Access Journal Improve Performance of Low-Power

More information

Structural Fault Tolerance for SOC

Structural Fault Tolerance for SOC Structural Fault Tolerance for SOC Soft Error Fault Tolerant Systems Hrushikesh Chavan Department of ECE, University of Wisconsin Madison, USA hchavan@wisc.edu Younggyun Cho Department of ECE, University

More information

Design Of Error Hardened Flip-Flop Withmultiplexer Using Transmission Gates And N-Type Pass Transistors

Design Of Error Hardened Flip-Flop Withmultiplexer Using Transmission Gates And N-Type Pass Transistors IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 11, Issue 5, Ver. II (Sep.-Oct.2016), PP 24-32 www.iosrjournals.org Design Of Error Hardened

More information

ECE321 Electronics I

ECE321 Electronics I ECE321 Electronics I Lecture 25: Sequential Logic: Flip-flop Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Tuesday 2:00-3:00PM or by appointment E-mail: pzarkesh.unm.edu Slide: 1 Review of Last

More information

Combining Dual-Supply, Dual-Threshold and Transistor Sizing for Power Reduction

Combining Dual-Supply, Dual-Threshold and Transistor Sizing for Power Reduction Combining Dual-Supply, Dual-Threshold and Transistor Sizing for Reduction Stephanie Augsburger 1, Borivoje Nikolić 2 1 Intel Corporation, Enterprise Processors Division, Santa Clara, CA, USA. 2 Department

More information

Design and analysis of RCA in Subthreshold Logic Circuits Using AFE

Design and analysis of RCA in Subthreshold Logic Circuits Using AFE Design and analysis of RCA in Subthreshold Logic Circuits Using AFE 1 MAHALAKSHMI M, 2 P.THIRUVALAR SELVAN PG Student, VLSI Design, Department of ECE, TRPEC, Trichy Abstract: The present scenario of the

More information

LOW POWER AND HIGH PERFORMANCE SHIFT REGISTERS USING PULSED LATCH TECHNIQUE

LOW POWER AND HIGH PERFORMANCE SHIFT REGISTERS USING PULSED LATCH TECHNIQUE OI: 10.21917/ijme.2018.0088 LOW POWER AN HIGH PERFORMANCE SHIFT REGISTERS USING PULSE LATCH TECHNIUE Vandana Niranjan epartment of Electronics and Communication Engineering, Indira Gandhi elhi Technical

More information

Sequencing. Lan-Da Van ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall,

Sequencing. Lan-Da Van ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall, Sequencing ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall, 2013 ldvan@cs.nctu.edu.tw http://www.cs.nctu.edu.tw/~ldvan/ Outlines Introduction Sequencing

More information

Area Efficient Level Sensitive Flip-Flops A Performance Comparison

Area Efficient Level Sensitive Flip-Flops A Performance Comparison Area Efficient Level Sensitive Flip-Flops A Performance Comparison Tripti Dua, K. G. Sharma*, Tripti Sharma ECE Department, FET, Mody University of Science & Technology, Lakshmangarh, Rajasthan, India

More information

Reduction of Clock Power in Sequential Circuits Using Multi-Bit Flip-Flops

Reduction of Clock Power in Sequential Circuits Using Multi-Bit Flip-Flops Reduction of Clock Power in Sequential Circuits Using Multi-Bit Flip-Flops A.Abinaya *1 and V.Priya #2 * M.E VLSI Design, ECE Dept, M.Kumarasamy College of Engineering, Karur, Tamilnadu, India # M.E VLSI

More information

Sharif University of Technology. SoC: Introduction

Sharif University of Technology. SoC: Introduction SoC Design Lecture 1: Introduction Shaahin Hessabi Department of Computer Engineering System-on-Chip System: a set of related parts that act as a whole to achieve a given goal. A system is a set of interacting

More information

EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP. Due İLKER KALYONCU, 10043

EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP. Due İLKER KALYONCU, 10043 EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP Due 16.05. İLKER KALYONCU, 10043 1. INTRODUCTION: In this project we are going to design a CMOS positive edge triggered master-slave

More information

nmos transistor Basics of VLSI Design and Test Solution: CMOS pmos transistor CMOS Inverter First-Order DC Analysis CMOS Inverter: Transient Response

nmos transistor Basics of VLSI Design and Test Solution: CMOS pmos transistor CMOS Inverter First-Order DC Analysis CMOS Inverter: Transient Response nmos transistor asics of VLSI Design and Test If the gate is high, the switch is on If the gate is low, the switch is off Mohammad Tehranipoor Drain ECE495/695: Introduction to Hardware Security & Trust

More information

DESIGN OF DOUBLE PULSE TRIGGERED FLIP-FLOP BASED ON SIGNAL FEED THROUGH SCHEME

DESIGN OF DOUBLE PULSE TRIGGERED FLIP-FLOP BASED ON SIGNAL FEED THROUGH SCHEME Scientific Journal Impact Factor (SJIF): 1.711 e-issn: 2349-9745 p-issn: 2393-8161 International Journal of Modern Trends in Engineering and Research www.ijmter.com DESIGN OF DOUBLE PULSE TRIGGERED FLIP-FLOP

More information

A 65 nm Low-Power Adaptive-Coupling Redundant Flip-Flop

A 65 nm Low-Power Adaptive-Coupling Redundant Flip-Flop IEEE TRANSACTIONS ON NUCLEAR SCIENCE 1 A 65 nm Low-Power Adaptive-Coupling Redundant Flip-Flop Masaki Masuda, Kanto Kubota, Ryosuke Yamamoto, Jun Furuta, Kazutoshi Kobayashi, and Hidetoshi Onodera Abstract

More information

Efficient Architecture for Flexible Prescaler Using Multimodulo Prescaler

Efficient Architecture for Flexible Prescaler Using Multimodulo Prescaler Efficient Architecture for Flexible Using Multimodulo G SWETHA, S YUVARAJ Abstract This paper, An Efficient Architecture for Flexible Using Multimodulo is an architecture which is designed from the proposed

More information

Using on-chip Test Pattern Compression for Full Scan SoC Designs

Using on-chip Test Pattern Compression for Full Scan SoC Designs Using on-chip Test Pattern Compression for Full Scan SoC Designs Helmut Lang Senior Staff Engineer Jens Pfeiffer CAD Engineer Jeff Maguire Principal Staff Engineer Motorola SPS, System-on-a-Chip Design

More information

EEC 118 Lecture #9: Sequential Logic. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

EEC 118 Lecture #9: Sequential Logic. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation EEC 118 Lecture #9: Sequential Logic Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation Outline Review: Static CMOS Logic Finish Static CMOS transient analysis Sequential

More information

Fully Static and Compressed Topology Using Power Saving in Digital circuits for Reduced Transistor Flip flop

Fully Static and Compressed Topology Using Power Saving in Digital circuits for Reduced Transistor Flip flop Fully Static and Compressed Topology Using Power Saving in Digital circuits for Reduced Transistor Flip flop 1 S.Mounika & 2 P.Dhaneef Kumar 1 M.Tech, VLSIES, GVIC college, Madanapalli, mounikarani3333@gmail.com

More information

An Optimized Implementation of Pulse Triggered Flip-flop Based on Single Feed-Through Scheme in FPGA Technology

An Optimized Implementation of Pulse Triggered Flip-flop Based on Single Feed-Through Scheme in FPGA Technology An Optimized Implementation of Pulse Triggered Flip-flop Based on Single Feed-Through Scheme in FPGA Technology 1 S.MANIKANTA, PG Scholar in VLSI System Design, 2 A.M. GUNA SEKHAR Assoc. Professor, HOD,

More information

Low Power High Speed Voltage Level Shifter for Sub- Threshold Operations

Low Power High Speed Voltage Level Shifter for Sub- Threshold Operations International Journal of Innovative Research in Electronics and Communications (IJIREC) Volume 1, Issue 5, August 2014, PP 34-41 ISSN 2349-4042 (Print) & ISSN 2349-4050 (Online) www.arcjournals.org Low

More information

Design of Pulse Triggered Flip Flop Using Conditional Pulse Enhancement Technique

Design of Pulse Triggered Flip Flop Using Conditional Pulse Enhancement Technique Design of Pulse Triggered Flip Flop Using Conditional Pulse Enhancement Technique NAVEENASINDHU P 1, MANIKANDAN N 2 1 M.E VLSI Design, TRP Engineering College (SRM GROUP), Tiruchirappalli 621 105, India,2,

More information

AN OPTIMIZED IMPLEMENTATION OF MULTI- BIT FLIP-FLOP USING VERILOG

AN OPTIMIZED IMPLEMENTATION OF MULTI- BIT FLIP-FLOP USING VERILOG AN OPTIMIZED IMPLEMENTATION OF MULTI- BIT FLIP-FLOP USING VERILOG 1 V.GOUTHAM KUMAR, Pg Scholar In Vlsi, 2 A.M.GUNA SEKHAR, M.Tech, Associate. Professor, ECE Department, 1 gouthamkumar.vakkala@gmail.com,

More information

Sequential Circuit Design: Part 1

Sequential Circuit Design: Part 1 Sequential Circuit esign: Part 1 esign of memory elements Static latches Pseudo-static latches ynamic latches Timing parameters Two-phase clocking Clocked inverters James Morizio 1 Sequential Logic FFs

More information

A Symmetric Differential Clock Generator for Bit-Serial Hardware

A Symmetric Differential Clock Generator for Bit-Serial Hardware A Symmetric Differential Clock Generator for Bit-Serial Hardware Mitchell J. Myjak and José G. Delgado-Frias School of Electrical Engineering and Computer Science Washington State University Pullman, WA,

More information

Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction

Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction Low Illinois Scan Architecture for Simultaneous and Test Data Volume Anshuman Chandra, Felix Ng and Rohit Kapur Synopsys, Inc., 7 E. Middlefield Rd., Mountain View, CA Abstract We present Low Illinois

More information

LFSR Counter Implementation in CMOS VLSI

LFSR Counter Implementation in CMOS VLSI LFSR Counter Implementation in CMOS VLSI Doshi N. A., Dhobale S. B., and Kakade S. R. Abstract As chip manufacturing technology is suddenly on the threshold of major evaluation, which shrinks chip in size

More information

Power Optimization Techniques for Sequential Elements Using Pulse Triggered Flip-Flops with SVL Logic

Power Optimization Techniques for Sequential Elements Using Pulse Triggered Flip-Flops with SVL Logic IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) ISSN: 2319 4200, ISBN No. : 2319 4197 Volume 1, Issue 4 (Nov. - Dec. 2012), PP 31-36 Power Optimization Techniques for Sequential Elements Using Pulse

More information

Memory elements. Topics. Memory element terminology. Variations in memory elements. Clock terminology. Memory element parameters. clock.

Memory elements. Topics. Memory element terminology. Variations in memory elements. Clock terminology. Memory element parameters. clock. Topics! Memory elements.! Basics of sequential machines. Memory elements! Stores a value as controlled by clock.! May have load signal, etc.! In CMOS, memory is created by:! capacitance (dynamic);! feedback

More information

VLSI Design: 3) Explain the various MOSFET Capacitances & their significance. 4) Draw a CMOS Inverter. Explain its transfer characteristics

VLSI Design: 3) Explain the various MOSFET Capacitances & their significance. 4) Draw a CMOS Inverter. Explain its transfer characteristics 1) Explain why & how a MOSFET works VLSI Design: 2) Draw Vds-Ids curve for a MOSFET. Now, show how this curve changes (a) with increasing Vgs (b) with increasing transistor width (c) considering Channel

More information

P.Akila 1. P a g e 60

P.Akila 1. P a g e 60 Designing Clock System Using Power Optimization Techniques in Flipflop P.Akila 1 Assistant Professor-I 2 Department of Electronics and Communication Engineering PSR Rengasamy college of engineering for

More information

Design of a High Frequency Dual Modulus Prescaler using Efficient TSPC Flip Flop using 180nm Technology

Design of a High Frequency Dual Modulus Prescaler using Efficient TSPC Flip Flop using 180nm Technology Design of a High Frequency Dual Modulus Prescaler using Efficient TSPC Flip Flop using 180nm Technology Divya shree.m 1, H. Venkatesh kumar 2 PG Student, Dept. of ECE, Nagarjuna College of Engineering

More information

Design a Low Power Flip-Flop Based on a Signal Feed-Through Scheme

Design a Low Power Flip-Flop Based on a Signal Feed-Through Scheme Design a Low Power Flip-Flop Based on a Signal Feed-Through Scheme Mayur D. Ghatole 1, Dr. M. A. Gaikwad 2 1 M.Tech, Electronics Department, Bapurao Deshmukh College of Engineering, Sewagram, Maharashtra,

More information

A Power Efficient Flip Flop by using 90nm Technology

A Power Efficient Flip Flop by using 90nm Technology A Power Efficient Flip Flop by using 90nm Technology Mrs. Y. Lavanya Associate Professor, ECE Department, Ramachandra College of Engineering, Eluru, W.G (Dt.), A.P, India. Email: lavanya.rcee@gmail.com

More information

Testability: Lecture 23 Design for Testability (DFT) Slide 1 of 43

Testability: Lecture 23 Design for Testability (DFT) Slide 1 of 43 Testability: Lecture 23 Design for Testability (DFT) Shaahin hi Hessabi Department of Computer Engineering Sharif University of Technology Adapted, with modifications, from lecture notes prepared p by

More information

DIFFERENTIAL CONDITIONAL CAPTURING FLIP-FLOP TECHNIQUE USED FOR LOW POWER CONSUMPTION IN CLOCKING SCHEME

DIFFERENTIAL CONDITIONAL CAPTURING FLIP-FLOP TECHNIQUE USED FOR LOW POWER CONSUMPTION IN CLOCKING SCHEME DIFFERENTIAL CONDITIONAL CAPTURING FLIP-FLOP TECHNIQUE USED FOR LOW POWER CONSUMPTION IN CLOCKING SCHEME Mr.N.Vetriselvan, Assistant Professor, Dhirajlal Gandhi College of Technology Mr.P.N.Palanisamy,

More information

Design for Testability

Design for Testability TDTS 01 Lecture 9 Design for Testability Zebo Peng Embedded Systems Laboratory IDA, Linköping University Lecture 9 The test problems Fault modeling Design for testability techniques Zebo Peng, IDA, LiTH

More information

Novel Low Power and Low Transistor Count Flip-Flop Design with. High Performance

Novel Low Power and Low Transistor Count Flip-Flop Design with. High Performance Novel Low Power and Low Transistor Count Flip-Flop Design with High Performance Imran Ahmed Khan*, Dr. Mirza Tariq Beg Department of Electronics and Communication, Jamia Millia Islamia, New Delhi, India

More information

Novel Design of Static Dual-Edge Triggered (DET) Flip-Flops using Multiple C-Elements

Novel Design of Static Dual-Edge Triggered (DET) Flip-Flops using Multiple C-Elements Available online at: http://www.ijmtst.com/ncceeses2017.html Special Issue from 2 nd National Conference on Computing, Electrical, Electronics and Sustainable Energy Systems, 6 th 7 th July 2017, Rajahmundry,

More information

Comparative Analysis of Pulsed Latch and Flip-Flop based Shift Registers for High-Performance and Low-Power Systems

Comparative Analysis of Pulsed Latch and Flip-Flop based Shift Registers for High-Performance and Low-Power Systems IJECT Vo l. 7, Is s u e 2, Ap r i l - Ju n e 2016 ISSN : 2230-7109 (Online) ISSN : 2230-9543 (Print) Comparative Analysis of Pulsed Latch and Flip-Flop based Shift Registers for High-Performance and Low-Power

More information

Design and Analysis of Metastable-Hardened and Soft-Error Tolerant. High-Performance, Low-Power Flip-Flops

Design and Analysis of Metastable-Hardened and Soft-Error Tolerant. High-Performance, Low-Power Flip-Flops Design and Analysis of Metastable-Hardened and Soft-Error Tolerant High-Performance, Low-Power Flip-Flops David Li, David Rennie, Pierce Chuang, David Nairn, Manoj Sachdev Department of Electrical and

More information

Sequential Circuit Design: Part 1

Sequential Circuit Design: Part 1 Sequential ircuit esign: Part 1 esign of memory elements Static latches Pseudo-static latches ynamic latches Timing parameters Two-phase clocking locked inverters Krish hakrabarty 1 Sequential Logic FFs

More information

Lecture 1: Circuits & Layout

Lecture 1: Circuits & Layout Lecture 1: Circuits & Layout Outline A Brief History CMOS Gate esign Pass Transistors CMOS Latches & Flip-Flops Standard Cell Layouts Stick iagrams 2 A Brief History 1958: First integrated circuit Flip-flop

More information

ELEN Electronique numérique

ELEN Electronique numérique ELEN0040 - Electronique numérique Patricia ROUSSEAUX Année académique 2014-2015 CHAPITRE 5 Sequential circuits design - Timing issues ELEN0040 5-228 1 Sequential circuits design 1.1 General procedure 1.2

More information

Clocking Spring /18/05

Clocking Spring /18/05 ing L06 s 1 Why s and Storage Elements? Inputs Combinational Logic Outputs Want to reuse combinational logic from cycle to cycle L06 s 2 igital Systems Timing Conventions All digital systems need a convention

More information

A Design Of A Low Power Delay Buffer Using Ring Counter Addressing Schemes

A Design Of A Low Power Delay Buffer Using Ring Counter Addressing Schemes A Design Of A Low Power Delay Buffer Using Ring Counter Addressing Schemes B.R.B Jaswanth St.Theresa Institute of Engineering and Technology Gudiwada, India Abstract This work presents circuit design of

More information

Dual Edge Triggered Flip-Flops Based On C-Element Using Dual Sleep and Dual Slack Techniques

Dual Edge Triggered Flip-Flops Based On C-Element Using Dual Sleep and Dual Slack Techniques IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 12, Issue 5, Ver. I (Sep.- Oct. 2017), PP 85-92 www.iosrjournals.org Dual Edge Triggered

More information

Adding Analog and Mixed Signal Concerns to a Digital VLSI Course

Adding Analog and Mixed Signal Concerns to a Digital VLSI Course Session Number 1532 Adding Analog and Mixed Signal Concerns to a Digital VLSI Course John A. Nestor and David A. Rich Department of Electrical and Computer Engineering Lafayette College Abstract This paper

More information

Timing Error Detection and Correction for Reliable Integrated Circuits in Nanometer Technologies

Timing Error Detection and Correction for Reliable Integrated Circuits in Nanometer Technologies Timing Error Detection and Correction for Reliable Integrated Circuits in Nanometer Technologies Stefanos Valadimas Department of Informatics and Telecommunications National and Kapodistrian University

More information

Logic Design for Single On-Chip Test Clock Generation for N Clock Domain - Impact on SOC Area and Test Quality

Logic Design for Single On-Chip Test Clock Generation for N Clock Domain - Impact on SOC Area and Test Quality and Communication Technology (IJRECT 6) Vol. 3, Issue 3 July - Sept. 6 ISSN : 38-965 (Online) ISSN : 39-33 (Print) Logic Design for Single On-Chip Test Clock Generation for N Clock Domain - Impact on SOC

More information

Report on 4-bit Counter design Report- 1, 2. Report on D- Flipflop. Course project for ECE533

Report on 4-bit Counter design Report- 1, 2. Report on D- Flipflop. Course project for ECE533 Report on 4-bit Counter design Report- 1, 2. Report on D- Flipflop Course project for ECE533 I. Objective: REPORT-I The objective of this project is to design a 4-bit counter and implement it into a chip

More information

A Novel Low-overhead Delay Testing Technique for Arbitrary Two-Pattern Test Application

A Novel Low-overhead Delay Testing Technique for Arbitrary Two-Pattern Test Application A Novel Low-overhead elay Testing Technique for Arbitrary Two-Pattern Test Application Swarup Bhunia, Hamid Mahmoodi, Arijit Raychowdhury, and Kaushik Roy School of Electrical and Computer Engineering,

More information

DESIGN OF A NEW MODIFIED CLOCK GATED SENSE-AMPLIFIER FLIP-FLOP

DESIGN OF A NEW MODIFIED CLOCK GATED SENSE-AMPLIFIER FLIP-FLOP DESIGN OF A NEW MODIFIED CLOCK GATED SENSE-AMPLIFIER FLIP-FLOP P.MANIKANTA, DR. R. RAMANA REDDY ABSTRACT In this paper a new modified explicit-pulsed clock gated sense-amplifier flip-flop (MCG-SAFF) is

More information

COPY RIGHT. To Secure Your Paper As Per UGC Guidelines We Are Providing A Electronic Bar Code

COPY RIGHT. To Secure Your Paper As Per UGC Guidelines We Are Providing A Electronic Bar Code COPY RIGHT 2018IJIEMR.Personal use of this material is permitted. Permission from IJIEMR must be obtained for all other uses, in any current or future media, including reprinting/republishing this material

More information

Power Reduction and Glitch free MUX based Digitally Controlled Delay-Lines

Power Reduction and Glitch free MUX based Digitally Controlled Delay-Lines Power Reduction and Glitch free MUX based Digitally Controlled Delay-Lines MARY PAUL 1, AMRUTHA. E 2 1 (PG Student, Dhanalakshmi Srinivasan College of Engineering, Coimbatore) 2 (Assistant Professor, Dhanalakshmi

More information

ISSCC 2003 / SESSION 19 / PROCESSOR BUILDING BLOCKS / PAPER 19.5

ISSCC 2003 / SESSION 19 / PROCESSOR BUILDING BLOCKS / PAPER 19.5 ISSCC 2003 / SESSION 19 / PROCESSOR BUILDING BLOCKS / PAPER 19.5 19.5 A Clock Skew Absorbing Flip-Flop Nikola Nedovic 1,2, Vojin G. Oklobdzija 2, William W. Walker 1 1 Fujitsu Laboratories of America,

More information

ISSN:

ISSN: 191 Low Power Test Pattern Generator Using LFSR and Single Input Changing Generator (SICG) for BIST Applications A K MOHANTY 1, B P SAHU 2, S S MAHATO 3 Department of Electronics and Communication Engineering,

More information