IN HIGH-SPEED digital systems, the high-speed digital

Size: px
Start display at page:

Download "IN HIGH-SPEED digital systems, the high-speed digital"

Transcription

1 908 IEEE TRANSACTIONS ON ELECTROMAGNETIC COMPATIBILITY, VOL. 47, NO. 4, NOVEMBER 2005 Spread Spectrum Clock Generator With Delay Cell Array to Reduce Electromagnetic Interference Jonghoon Kim, Dong Gun Kam, Pil Jung Jun, and Joungho Kim Abstract In high-speed digital systems, most of the electromagnetic interference (EMI) from the system is caused by high-speed digital clock drivers and synchronized circuits. To reduce the EMI from the system clocks, spread spectrum clock (SSC) techniques that modulate the system clock frequency have been proposed. A conventional SSC generator (SSCG) has been implemented with a phase locked loop (PLL) by controlling a period jitter. However, the conventional SSCG with PLL becomes more difficult to implement at higher clock frequencies, in the gigahertz range, because of the random period jitter of the PLL. Furthermore, the attenuation of EMI is decreased due to the random period jitter of the PLL. To overcome the problems associated with the random period jitter, we propose an SSCG with a delay cell array (DCA), which controls the position of clock transitions with a triangular modulation profile. Measurement and simulation have demonstrated that the proposed SSCG with DCA is easier to implement and more effective in attenuating the EMI compared with the conventional SSCG with PLL. The proposed SSCG with DCA was implemented on a chip using a 0.35-µm CMOS process and achieved a 9-dB attenuation of the EMI at 390 MHz. Index Terms Electromagnetic interference (EMI), spread spectrum clock (SSC). I. INTRODUCTION IN HIGH-SPEED digital systems, the high-speed digital clock drivers and associated circuits generate most of the electromagnetic interference (EMI) problems in the system. This is because of the periodic nature of signal with the highest frequency and fast transition time. Its energy is concentrated in narrow bands near the harmonic frequencies [1]. To reduce the EMI from the system clock drivers and associated circuits, spread spectrum clock (SSC) techniques that modulate the system clock frequency have been introduced [2] and [3]. More recently, the SSC techniques have been adapted to some commercial electronic products, such as a personal computer, offering considerable suppression of the EMI [4] and [5]. The conventional SSC is generated by adding intentional period jitter to a fixed period clock (FPC) within the timing margin of the system, as shown in Fig. 1(a). The shape of the imposed period jitter, as shown in Fig. 1(b), is defined as a modulation profile. Fig. 1(c) shows the attenuation (A db ) of spectrum, viz. Manuscript received March 12, 2004; revised August 1, J. Kim is with the Module Design Team, Memory Division, Device Solution Network, Samsung Electronics Company Ltd., Hwasung-city, Kyeonggi-do , Korea ( jh9.kim@samsung.com). D. G. Kam and J. Kim are with the Terahertz Interconnection and Package Laboratory, Department of Electrical Engineering and Computer Science, Korea Advanced Institute of Science and Technology, Yuseong-gu, Daejeon , Korea ( teralab@ee.kaist.ac.kr). P. J. Jun is with the Computer System R&D Division, Samsung Electronics Company Ltd., Hwasung-city, Kyeonggi-do , Korea. Digital Object Identifier /TEMC the suppressed EMI by virtue of the SSC. There are various modulation profiles, including random pulses, sinusoidal, triangular, and SSC generator (SSCG) modulation profiles [2], [3], [6], [7]. Among the modulation profiles, we found that the triangular modulation profile [see Fig. 1(b)] has the maximum A db from numerical analysis. Therefore, our study is focused on the SSCG using the triangular modulation profile in this paper. When the triangular modulation profile is used, A db can be estimated by [6] ( ) δ n f0 Attenuation = A db 10 log 10. (1) In the region between the cut-off frequency (f m /δ) and the overlap frequency (f 0 /δ),a db is directly proportional to the peak deviation (δ) and the harmonic frequency (n f 0 ), and inversely proportional to the modulation frequency (f m ). The peak deviation (δ) is equal to the maximum period jitter ( T 0 ) divided by the fundamental period T 0, and the modulation frequency f m is the reciprocal of the modulation period (T m = K T 0 ). To achieve the maximum attenuation, the peak deviation (δ) should be increased, and the modulation frequency (f m ) should be decreased. However, (1) has a critical assumption that the resolution bandwidth (RBW) of the spectrum analyzer (EMC measurement receiver) is 0 Hz. Generally, the RBW of the EMC receiver is about 120 khz. Therefore, (1) is valid when the modulation frequency (f m ) is larger than the RBW of the EMC receiver. In the measured example, f m =50kHz was used, which is less than the 120-kHz RBW. The results show that reduction is possible even for f m < RBW as long as the majority of the FM spectrum is attenuated outside the receiver pass-band at any given tune frequency. If we consider the measurement bandwidth, the optimal modulation profile for SSC becomes the SSCG modulation profile instead of the triangular modulation profile [3]. The deviation from ideal timing of a clock is called jitter. It comprises both a deterministic and a random component. It can be classified into a period jitter or an edge jitter, depending on the timing reference from which it is defined. As shown in Fig. 2, the period jitter is defined as a deviation of a period at each clock cycle from an ideal fixed period. In contrast, the edge jitter is defined as a deviation of a transition edge at each clock cycle from an ideal fixed clock transition edge position. According to this definition, the period jitter is equal to the difference of successive edge jitters as shown in (2), and the edge jitter is equal to the summation of the period jitter as follows in (3): T PJ(k) = T EJ(k) T EJ(k 1) (2) T EJ(k) = f m k T PJ(n). (3) n= /$ IEEE

2 KIM et al.: SSC GENERATOR WITH DELAY CELL ARRAY TO REDUCE EMI 909 Fig. 1. Principle of SSC. (a) The SSC is generated by intentional period jitter while preserving the timing margin. Because the SSC has a triangular modulation profile. (b) The spectrum at the harmonics is attenuated as shown in (c). Fig. 2. Period jitter and edge jitter representation for SSC. The period jitter is the difference between the period of every clock cycle and the fundamental period (T 0 ). The edge jitter is the difference between the transition edge of every clock cycle and the ideal position. Fig. 3. Components of jitter. Period jitter and edge jitter are composed of deterministic jitter and random jitter. The deterministic jitter is composed of the SSC jitter and unintentional jitter. An SSCG is realized by adding either period jitter or random jitter to a FPC within the timing margin of the system. In addition to the intentionally added jitter, that is, the SSC jitter, there is also an unintentional jitter and a random jitter, as shown in Fig. 3. The unintentional jitter results from crosstalk, simultaneous switching noise (SSN), EMI, and design errors. If the SSCG is carefully designed, the unintentional jitter can be reduced to be negligible, but the random jitter still exists due to thermal noise, shot noise, and flicker noise. This random jitter poses critical limit on the performance of the conventional SSCG based on modulation of the period jitter of the PLL. Most conventional SSCGs have been implemented using a phase locked loop (PLL) controlling the period jitter rather than the edge jitter [4], [5], [8] [10]. As shown in Fig. 4, the SSC period jitter is intentionally added to a FPC by using a programmable counter and a voltage-controlled

3 910 IEEE TRANSACTIONS ON ELECTROMAGNETIC COMPATIBILITY, VOL. 47, NO. 4, NOVEMBER 2005 Fig. 4. Principle of SSCG with PLL. Using a programmable counter and a VCO, most of the SSCG is implemented [10]. Fig. 5. Decrement of attenuation due to random period jitter of SSCG with PLL. Due to random period jitter of SSCG with PLL, the attenuation of spectrum is decreased. Fig. 6. Proposed SSCG with DCA. The SSCG with DCA is composed of DCA and DCA controller. The DCA has N numbers of delay cell. The delay cell is composed of a latch and an inverter with controllable propagation delay. oscillator (VCO). However, the conventional SSCG with PLL has two critical problems, namely: 1) difficulty of implementation and 2) decrement of A db due to random period jitter. As clock frequency increases, the SSC period jitter must be more finely controlled. If the SSC period jitter to be controlled becomes smaller than the random period jitter, implementation of the SSCG with a PLL becomes difficult. Furthermore, in these circumstances, A db also decreases as shown in Fig. 5. In the SSCG, another alternative approach is controlling the edge jitter. In general, the maximum edge jitter is considerably larger than the fundamental period. A higher peak deviation and

4 KIM et al.: SSC GENERATOR WITH DELAY CELL ARRAY TO REDUCE EMI 911 Fig. 7. Operation of delay cell. Clock C n 1 is inverted and propagated to C n with some propagation delay shown as 1.IfQ n is low, Q n =0, the propagation delay between C n 1 and C n is D n shown as 2.IfQ n is high, Q n =1, the propagation delay is D n + D n shown as 3.IfC n is high shown as 4, Q n +1 is transferred to Q n shown as 5. The SSC is generated using the edge jitter resulting from the additional propagation delay D n. a lower modulation frequency achieve higher A db. However, in the previous study, the triangular modulation profile has not been used in controlling the edge jitter [11] [13]. Furthermore, the maximum edge jitter of the conventional SSCG has been smaller than the fundamental period. Therefore, the attenuation of the EMI has been inadequate. In this paper, an SSCG with a delay cell array (DCA) is proposed to control the edge jitter with a triangular modulation profile and maximize the attenuation of the EMI in the SSCG. The proposed SSCG with DCA is more robust in that it is less affected by the random jitter. It is clearly demonstrated by measurement and simulation that the proposed SSCG with DCA has a more effective attenuation of the EMI and can be more easily implemented than the conventional SSCG with PLL. Furthermore, because the proposed SSCG with DCA uses a triangular modulation profile, it has greater effective attenuation than a conventional SSCG with controlled edge jitter. The proposed SSCG with DCA was implemented on a chip using a 0.35-µm CMOS process and achieved a 9-dB attenuation of the EMI at 390 MHz. II. PROPOSED SSCG WITH DCA The proposed SSCG is composed of a DCA and a DCA controller, as shown in Fig. 6. The DCA has N delay cells, and each delay cell is composed of a latch and an inverter. The propagation delay at each cell is controlled by the latch output Q. Hence, depending on the status of QsontheN cells, the propagation delay of each clock transition will be different, resulting in the controlled edge jitter. Fig. 7 shows the operation of a delay cell. The clock signal is transferred to the next delay cell with a propagation delay dependent on the status of Q. The propagation delay at the nth delay cell, PD n is represented in (4). The additional propagation delay ( D n ) is the difference between two propagation delays according to the status of Q n and causes the edge jitter. The SSC is constructed using this edge jitter PD n = D n + Q n D n. (4) The total propagation delay through the DCA (PD DCA ), which is represented in (5), is composed of constant term, as given by (6), and edge jitter term, as given by (7). Because the constant term is always independent of the status of Q n, it does Fig. 8. SSC edge jitter and SSC period jitter of the proposed SSCG with DCA. The additional propagation delay ( D n ) of every delay cell is designed as shown in (a), the SSC edge jitter is determined as shown in (b), and the SSC period jitter is extracted as shown in (c). If the additional propagation delay has a triangular profile, the modulation profile of the SSCG with DCA is triangular. not affect the edge jitter. The SSC is created by the edge jitter that depends on Q n N N N PD DCA = PD n = (D n )+ (Q n D n ) (5) PD CONST = T EJ = n=1 n=1 n=1 N (D n ) (6) n=1 N (Q n D n ). (7) n=1

5 912 IEEE TRANSACTIONS ON ELECTROMAGNETIC COMPATIBILITY, VOL. 47, NO. 4, NOVEMBER 2005 Fig. 9. (a) Period jitter and the (b) edge jitter of SSC with triangular modulation profile. The maximum period jitter is δ T 0. The maximum edge jitter is δ T m /8. Generally, the maximum edge jitter is larger than the fundamental period and the maximum period jitter. Q n is transferred from a delay cell to the previous delay cell, as shown in Fig. 7. For normal operation, the status of all Q maintain 0 (low state), and in every clock transition edge, Q is charged with 1 (high state) one by one from a delay cell to the previous delay cell. After every cell is charged with 1 (high state), charging with 0 (low state) will be repeated again. The propagation delay of the kth transition edge of a FPC through the DCA is represented by equation (8) at the bottom of the page. Therefore, the edge jitter is as shown in (9) and the period jitter is as shown in (10) at the bottom of the page. If the additional propagation delay ( D n ) of each delay cell is designed as Fig. 8(a), the edge jitter is determined as shown in Fig. 8(b), and the period jitter is extracted as shown in Fig. 8(c) and has a triangular modulation profile. Therefore, for the implementation of an SSC with a triangular modulation profile using the proposed SSCG with DCA, the additional propagation delay ( D n ) should be designed as shown in Fig. 8(a). The proposed SSCG with the DCA with a triangular modulation profile can be implemented as shown in Fig. 8. The profile of the period jitter is called the modulation profile. For an SSC with a triangular modulation profile, the period jitter and the edge jitter are as represented in Fig. 9. The maximum period jitter is determined by (11) and the maximum edge jitter is determined by (12). For example, supposing the fundamental frequency (f 0 ) is 100 MHz, the modulation frequency (f m ) is 50 khz, and peak deviation (δ) is 0.01 (1%), the fundamental period (T 0 ) is 10 ns, the maximum period jitter is 100 ps, and the maximum edge jitter is 25 ns. The maximum edge jitter is larger than the fundamental period and the maximum period jitter (please see the equation at the bottom of the page) Maximum Period Jitter = max( T PJ(k) )= T 0 = δ T 0 Maximum Edge Jitter = max( T EJ(k) )= δ T m 8 (11). (12) PD DCA(k) = PD CONST + T EJ(k) T EJ(1) D N T EJ(2) D N 1 + D N T EJ(3) D N 2 + D N 1 + D N T EJ(N ) D 1 + D D N 2 + D N 1 + D N T EJ(k) = T EJ(N +1) = D 1 + D D N 2 + D N 1 T EJ(N +2) D 1 + D D N 2 T EJ(2N 2) D 1 + D 2 T EJ(2N 1) D 1 T EJ(2N ) 0 T PJ(1) D N T PJ(2) D N 1 T PJ(3) D N 2 T PJ(N ) D 1 T PJ(k) = T EJ(k) T EJ(k 1) = T PJ(N +1) = D N T PJ(N +2) D N 1 T PJ(2N 2) D 3 T PJ(2N 1) D 2 T PJ(2N ) D 1 (8) (9) (10)

6 KIM et al.: SSC GENERATOR WITH DELAY CELL ARRAY TO REDUCE EMI 913 Fig. 10. Principles of the conventional SSCG with PLL and the proposed SSCG with DCA. For the generation of SSC, both the edge jitter and the period jitter can be controlled. The conventional SSCG with PLL is implemented by the SSC period jitter ( T PJ(k ) ), as shown in (a). The proposed SSCG with DCA is implemented by the SSC edge jitter ( T EJ(k ) ), as shown in (b). The relation between the SSC period jitter and the SSC edge jitter is representated by (2) and (3). Fig. 11. Effects of the random period jitter in SSCG with PLL and the random edge jitter in SSCG with DCA. The random period jitter in the right figure and the random edge jitter in the left figure have Gaussian distribution. III. COMPARISON OF AN SSCG WITH DCA AND AN SSCG WITH PLL In this paper, the proposed SSCG with DCA is compared with the conventional SSCG with PLL. The conventional SSCG with PLL is implemented by controlling the SSC period jitter as shown in Fig. 10(a), and the proposed SSCG with DCA is implemented by controlling the SSC edge jitter as shown in Fig. 10(b). If random jitter does not exist, the operation and the SSC from the SSCG with DCA will be same as the SSC from the SSCG with PLL. However, because of the difference between the random period jitter in the SSCG with PLL and the random edge jitter in the SSCG with DCA, the SSC from the SSCG with DCA is quite different from the SSC from the SSCG with PLL. Effects of the random period jitter in the SSCG with PLL and the random edge jitter in the SSCG with DCA were demonstrated using the numerical simulation in terms of attenuation of the EMI. The period and edge jitter of the SSCG with PLL and the SSCG with DCA are shown in Fig. 11, respectively. The random period jitter of the SSCG with PLL is on the right of Fig. 11, and the random edge jitter of the SSCG with DCA is on the left of Fig. 11. We assumed that both random jitters with Gaussian distribution, as shown on center of Fig. 11, are the same. The level of the random jitter used for this simulation was determined by assumption, so the used random jitter can be different from the circuits currently in practice. The random period jitter that results in the random edge jitter of the SSCG with DCA is larger than the random period

7 914 IEEE TRANSACTIONS ON ELECTROMAGNETIC COMPATIBILITY, VOL. 47, NO. 4, NOVEMBER 2005 Fig. 12. Edge jitter and the period jitter from Fig. 11. (a) The edge jitter of the SSCG with DCA, including the SSC edge jitter and the random edge jitter. For (a), the period jitter of the SSCG with DCA is represented by (c). (d) The period jitter of the SSCG with PLL, including the SSC period jitter and the random period jitter. For (d), the edge jitter of the SSCG with PLL is represented by (b). Fig. 13. Attenuation from the SSCG with PLL and the SSCG with DCA. The attenuation from the SSCG with PLL is less than that from the SSCG without random jitter. However, the attenuation from the SSCG with DCA is larger than the attenuation from the SSCG with PLL and is similar to the attenuation from the SSCG without the random jitter. jitter of the SSCG with PLL. Because 25 ns of the maximum edge jitter in Fig. 12(c) is much larger than 10 ps of the maximum period jitter in Fig. 12(a), the proposed SSCG with DCA is easier to implement than the conventional SSCG with PLL. The A db of the SSCG with PLL decreased as shown Fig. 13. This problem is called the decrement of A db. However, the proposed SSCG with DCA has greater effective attenuation than the conventional SSCG with PLL. Although the SSCG with DCA has the larger period jitter, the A db of the SSCG with DCA is larger than the A db of the SSCG with PLL and is comparable to the A db of the SSCG without random jitter. The decreased A db is caused by the huge amount of random edge jitter in the SSCG with PLL. The crucial problematic jitters

8 KIM et al.: SSC GENERATOR WITH DELAY CELL ARRAY TO REDUCE EMI 915 Fig. 14. Random edge jitter, cause of decremented of attenuation. The random edge jitter that is the cumulative summation of the random period jitter of the SSCG with PLL is larger than the random edge jitter of the SSCG with DCA. Fig. 15. Block diagram of the implemented SSCG with DCA. The inverter with controllable propagation delay is designed as shown in (a). The number of delay cells is 200. The size of MOS transistor is not optimized. For more simplified circuit diagram, a multiplexer, instead of both a counter and a T flip-flop, isusedfor the DCA controller, as shown in Fig. 6. are random period jitter in the SSCG with PLL and random edge jitter in the SSCG with DCA. Because the random edge jitter is the cumulative summation of the random period jitter, the random edge jitter resulting from random period jitter of the SSCG with PLL is much larger than the random edge jitter of the SSCG with DCA, as shown in Fig. 14. Therefore, the proposed SSCG with DCA has more effective attenuation than the conventional SSCG with PLL. If the clock frequency is increased further, the maximum SSC period jitter of the SSCG with PLL becomes comparable

9 916 IEEE TRANSACTIONS ON ELECTROMAGNETIC COMPATIBILITY, VOL. 47, NO. 4, NOVEMBER 2005 Fig. 16. Implementation of integrated circuit (IC) chip for the proposed SSCG with DCA. Photograph of fabricated IC chip is shown in (a). The IC chip was fabricated using 1-poly, 3-metal, and 0.35-µm CMOS process, with 5 5 mm chip size. The IC chip was packaged (208 PQFP) as shown in (b). The architecture of the implemented SSCG is represented in (c). The size of the implemented SSCG with DCA is µm. The power consumption is approximately 120 mw for 200 delay cells at 100-MHz clock frequency. The total on-chip decoupling capacitance is approximately 1 nf. Fig. 17. Simulated edge jitter and simulated period jitter of the implemented SSCG with DCA. The (a) edge jitter and the (b) period jitter are extracted from simulated SSC waveform.

10 KIM et al.: SSC GENERATOR WITH DELAY CELL ARRAY TO REDUCE EMI 917 Fig. 18. Simulated spectra of the FPC and the SSC from the implemented SSCG with DCA. The voltage spectrum is attenuated approximately 10-dB from 120 to 110-dB µv at 500 MHz. This attenuation means a similar reduction of EMI. Fig. 19. Test PCB for measurements of jitter and EMI from the implemented SSCG with DCA. The FPC of approximately 100 MHz is generated using a VCO (Minicircuits POS-150). For the measurement of EMI, a microstrip line was used. is much larger than the random edge jitter of the SSCG with DCA, the proposed SSCG with DCA has more attenuation than the conventional SSCG with PLL. Fig. 20. Measurement set-up for jitter of the implemented SSCG with DCA. The power supply generates the 3 V for the implemented IC chip and the 12 V for the VCO. For inspection of the test PCB, an Agilent E7403A spectrum analyzer was used. The SSC waveform was measured using the single-shot technique of the Tektronix TDS7403 oscilloscope. This oscilloscope has 50-ps sampling time and 8-MB memory. Using the measured SSC waveform, the edge jitter and the period jitter were extracted. to its random period jitter. If the maximum SSC edge jitter is much larger than the SSC period jitter, as well as the random edge jitter, the proposed SSCG with DCA can be more easily implemented than the conventional SSCG with PLL. In addition, because the random edge jitter, which results from the accumulated random period jitters of the SSCG with PLL, IV. MEASUREMENT OF JITTER AND EMI The block diagram of the proposed SSCG with DCA is shown in Fig. 15. The fabricated IC chip, package, and architecture are shown in Fig. 16. The number of delay cells is 200. The operating fundamental frequency is approximately 100 MHz. The IC chip was fabricated using 1- poly, 3-metal, and 0.35-µm CMOS process, with 5 5mm die size. The size of the implemented SSCG with DCA is µm. The power consumption is approximately 120 mw for the 200 delay cells at 100-MHz clock frequency. For power integrity, a 1-nF on-chip decoupling capacitor was used [14] [16]. The simulated edge jitter is shown in Fig. 17(a). The maximum edge jitter is observed at 3600 ps. The simulated period jitter is shown in Fig. 17(b). The maximum period jitter is observed at 250 ps. The modulation profile is not an exact triangular modulation profile but an SSCG modulation profile [3]. The spectrum of clock waveform was evaluated using the fast Fourier transform as shown in Fig. 18. The voltage spectrum of the FPC is approximately 120 db µv at 500 MHz and that of the

11 918 IEEE TRANSACTIONS ON ELECTROMAGNETIC COMPATIBILITY, VOL. 47, NO. 4, NOVEMBER 2005 Fig. 21. Measured edge jitter and measured period jitter of the implemented SSCG with DCA. Measured SSC waveform is shown in (a). The rising and the falling time are approximately 200 ps. The measured operating frequency is approximately 100 MHz. Using (a) the measured SSC waveform and MATLAB program, the edge jitter as (b) and the period jitter as (c) are extracted. The measured jitter profiles are similar to the simulated jitter profiles using the HSPICE as shownin Fig. 17. The measured modulation profile is the SSCG modulation profile. SSC is approximately 110-dB µv at 500 MHz. Therefore, an approximately 10-dB attenuation of the voltage spectrum was achieved. This 10-dB attenuation of voltage spectrum means a 10-dB reduction of EMI. To measure the jitter and EMI, a test print circuit board (PCB) was designed and assembled as shown in Fig. 19. The test PCB was fabricated on a two-layer FR-4 substrate. The size of the PCB is mm, and the height is 1 mm. To generate the FPC, a VCO was used. The operating frequency is approximately 100 MHz. To measure the EMI, an additional microstrip line was used. The length of interconnecting line is 150 mm. The load capacitor is a 2 pf ceramic chip capacitor. The EMI was measured in a 3-m anechoic chamber. Fig. 20 is the measurement setup for SSC waveform using the oscilloscope s single-shot technique. The oscilloscope used is Tektronix TDS7404 that has 50 ps sampling time and 8 MB memory. Using the measured SSC waveform and the MATLAB program, the edge and period jitter were extracted as shown in Fig. 21. The measured edge and period jitter are similar to the HSPICE simulation results. Fig. 22 is the measured EMI spectra of the FPC and the SSC from the implemented SSCG with DCA. The measured EMI for the FPC is approximately 74 db µv/m at 390 MHz, and

12 KIM et al.: SSC GENERATOR WITH DELAY CELL ARRAY TO REDUCE EMI 919 Fig. 22. Measured EMI spectra of the FPC and the SSC from the implemented SSCG with DCA. The measured EMI is attenuated approximately 9 db from 74 to 65 db µv/m at 390 MHz. The measured attenuation is different to the prediction of (1) because the measured SSC has the SSCG modulation profile and the RBW of spectrum analyzer used for EMC measurements is 120 khz. However, (1) assumes the triangular modulation profile and the 0 Hz of RBW of EMC receiver. the measured EMI for the SSC is approximately 65 db µv/m at 390 MHz. The measured EMI was reduced by approximately 9 db at 390 MHz using the proposed, and implemented SSCG with DCA. V. CONCLUSION In this paper, an SSCG with DCA is proposed to reduce EMI from high-speed digital systems. The edge jitter is controlled by implementing the SSC with a triangular modulation profile. The proposed SSCG with DCA has greater effective attenuation and can be more easily implemented than the conventional SSCG with PLL. In addition, because the conventional SSCG controlling the edge jitter cannot generate the triangular modulation profile with sufficient accuracy, the proposed SSCG with DCA has greater effective attenuation than the conventional SSCG. The proposed SSCG with DCA was implemented on an IC chip using a 0.35-µm CMOS process, and a 9 db attenuation of the EMI at 390 MHz was measured. ACKNOWLEDGMENT The authors would like to thank to T. H. Kim for his valuable comments with respect to circuit simulation. REFERENCES [1] H. Ott, Noise Reduction Techniques in Electronic Systems, 2nd ed. New York: Wiley, 1988, pp [2] F. Lin and D. Chen, Reduction of power supply EMI emission by switching frequency modulation, IEEE Trans. Power Electron., vol. 9, no. 1, pp , 1994.

13 920 IEEE TRANSACTIONS ON ELECTROMAGNETIC COMPATIBILITY, VOL. 47, NO. 4, NOVEMBER 2005 [3] K. Hardin, J. Fessler, and D. Bush, Spread spectrum clock generation for the reduction of radiated emissions, in Proc. IEEE Int. Symp. Electromagnetic Compatibility, Feb. 1994, pp [4] Cypress Preliminary Datasheet, CY2220, 133-MHz spread spectrum clock synthesizer/driver with differential CPU outputs, [5] CK00 clock synthesizer/driver design guidelines, Intel, [6] J. Kim, P. Jun, J. Byun, and J. Kim, Design guidelines of spread spectrum clock for suppression of radiation and interference from high-speed interconnection line, in Proc. IEEE Workshop Signal Propag. Interconnects, 2002, pp [7] J. Kim, P. Jun, and J. Kim, Dithered timing spread spectrum clock generation for reduction of electromagnetic radiated emission from high-speed digital system, in Proc. IEEE Int. Symp. Electromagnetic Compatibility, 2002, pp [8] K. Hardin, J. Fessler, N. Webb, J. Berry, A. Cable, and M. Pulley, Design considerations of phase-locked loop systems for spread spectrum clock generation compatibility, in Proc. IEEE Int. Symp. Electromagnetic Compatibility, 1997, pp [9] K. Hardin, J. Fessler, and D. Bush, A study of the interference potential of spread spectrum clock generation techniques, in Proc. IEEE Int. Symp. Electromagnetic Compatibility, 1995, pp [10] Cypress Semiconductor Corporation, Clock terminology, revised Jul [11] R. Rust, P. Luque, and D. Knee, Digitally phase modulated clock inhibiting reduced RF emission, U.S. Patent , [12] I. Greiss, Digital modulated clock circuit for reducing EMI spectral density, U.S. Patent 5, vol. 731, no. 728, [13] Y. Moon, D. Jeong, and G. Kim, Clock dithering for electromagnetic compliance using spread spectrum phase modulation, in Proc. IEEE Int. Solid-State Circuits Conf., 1999, pp [14] J. Kim, H. Kim, W. Ryu, J. Kim, Y. Yun, S. Kim, S. Ham, H. An, and Y. Lee, Effects of on-chip and off-chip decoupling capacitors on electromagnetic radiated emission, in Proc 48th Electronic Components & Technology Conf., 1998, pp [15] H. Kim, J. Kim, W. Ryu, M. Sung, S. Ahn, and J. Kim, Suppression of radiated emission from an 8-bit micro-controller using gate oxide filtering capacitors, in Proc. IEEE Int. Symp. Electromagnetic Compatibility, 1999, pp [16] J. Kim, B. Choi, H. Kim, W. Ryu, Y. Yun, S. Ham, S. Kim, Y. Lee, and J. Kim, Separated role of on-chip and on-pcb decoupling capacitors for reduction of radiated emission on printed circuit board, in Proc. IEEE Int. Symp. Electromagnetic Compatibility, 2001, pp Jonghoon Kim received the B.S. degree in electronics from Yeungnam University, Daegu, Korea, in 1995, and the M.S. and the Ph.D. degrees in electrical engineering from the Korea Advanced Institute of Science and Technology, Daejeon, Korea, in 1998 and 2003, respectively. In 2003, he joined the Memory Division, Samsung Electronics Company Ltd., Hwasung, Korea, where he is currently a Senior Engineer. He is now working on memory module simulation. Dong Gun Kam received the B.S. degree in physics and the M.S. degree in electrical engineering from Korea Advanced Institute of Science and Technology, Daejeon, Korea, in 2000 and 2002, respectively, and is currently pursuing the Ph.D. degree at Korea Advanced Institute of Science and Technology, Daejeon, Korea. His research interests include signal integrity and power integrity issues in system-in-package design. Pil Jung Jun received the B.S. degree in electronics engineering from Inha University, Incheon, Korea, in After graduation, he joined the Computer System R&D Division, Samsung Electronics Company Ltd., Suwon, Korea, as a Computer Hardware Engineer, where he worked for more than seven years. He now works on the EMC design and analysis of computer systems. His practical experience lies in the areas of EMI design and debugging and ESD robust design. Currently, he is interested in increasing the correlation between the practical complicated products and the commercial software tools of EMI simulation and modeling. Joungho Kim received the B.S. and M.S. degrees from Seoul National University, Seoul, Korea, in 1984 and 1986, respectively, and the Ph.D. degree from the University of Michigan, Ann Arbor, in 1993, all in electrical engineering. During his graduate study, he was involved in femtosecond time-domain optical measurement technique for high-speed device and circuit testing. In 1993, he as a Research Engineer at Picometrix Inc., Ann Arbor, MI, where he was responsible for the development of picosecond sampling systems and 70-GHz photoreceivers. In 1994, he joined the Memory Division, Samsung Electronics, Kiheung, Korea, where he was engaged in gigabite-scale DRAM design. In 1996, he became an Associate Professor with the Electrical Engineering and Computer Science Department, Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea. Since joining KAIST, his research centers on modeling, design, and measurement of high-speed interconnection, package, and PCB. In particular, his research includes design issues of signal integrity, power/ground noise, and radiated emission in high-speed SerDes channel, system-on-package, and multilayer PCB. He was on sabbatical leave during the 2001/2002 academic year, during which time he worked as a Staff Engineer at Silicon Image Inc., Sunnyvale, CA. He was responsible for the low noise package design of SATA, FC, and Panel Link SerDes devices. Currently, he is working as a Technical Consultant in automobile EMI/EMC design at Hyundai Motors Inc. He has more than 180 publications in refereed journals and conferences. Dr. Kim has been the Chair or the Co-Chair of the EDAPS Workshop since He is also an Associate Editor of the IEEE TRANSACTIONS ON ELECTROMAGNETIC COMPATIBILITY.

Guidance For Scrambling Data Signals For EMC Compliance

Guidance For Scrambling Data Signals For EMC Compliance Guidance For Scrambling Data Signals For EMC Compliance David Norte, PhD. Abstract s can be used to help mitigate the radiated emissions from inherently periodic data signals. A previous paper [1] described

More information

FDTD_SPICE Analysis of EMI and SSO of LSI ICs Using a Full Chip Macro Model

FDTD_SPICE Analysis of EMI and SSO of LSI ICs Using a Full Chip Macro Model FDTD_SPICE Analysis of EMI and SSO of LSI ICs Using a Full Chip Macro Model Norio Matsui Applied Simulation Technology 2025 Gateway Place #318 San Jose, CA USA 95110 matsui@apsimtech.com Neven Orhanovic

More information

A low jitter clock and data recovery with a single edge sensing Bang-Bang PD

A low jitter clock and data recovery with a single edge sensing Bang-Bang PD LETTER IEICE Electronics Express, Vol.11, No.7, 1 6 A low jitter clock and data recovery with a single edge sensing Bang-Bang PD Taek-Joon Ahn, Sang-Soon Im, Yong-Sung Ahn, and Jin-Ku Kang a) Department

More information

An FPGA Implementation of Shift Register Using Pulsed Latches

An FPGA Implementation of Shift Register Using Pulsed Latches An FPGA Implementation of Shift Register Using Pulsed Latches Shiny Panimalar.S, T.Nisha Priscilla, Associate Professor, Department of ECE, MAMCET, Tiruchirappalli, India PG Scholar, Department of ECE,

More information

PICOSECOND TIMING USING FAST ANALOG SAMPLING

PICOSECOND TIMING USING FAST ANALOG SAMPLING PICOSECOND TIMING USING FAST ANALOG SAMPLING H. Frisch, J-F Genat, F. Tang, EFI Chicago, Tuesday 6 th Nov 2007 INTRODUCTION In the context of picosecond timing, analog detector pulse sampling in the 10

More information

GLITCH FREE NAND BASED DCDL IN PHASE LOCKED LOOP APPLICATION

GLITCH FREE NAND BASED DCDL IN PHASE LOCKED LOOP APPLICATION GLITCH FREE NAND BASED DCDL IN PHASE LOCKED LOOP APPLICATION S. Karpagambal 1 and M. S. Thaen Malar 2 1 VLSI Design, Sona College of Technology, Salem, India 2 Department of Electronics and Communication

More information

A FOUR GAIN READOUT INTEGRATED CIRCUIT : FRIC 96_1

A FOUR GAIN READOUT INTEGRATED CIRCUIT : FRIC 96_1 A FOUR GAIN READOUT INTEGRATED CIRCUIT : FRIC 96_1 J. M. Bussat 1, G. Bohner 1, O. Rossetto 2, D. Dzahini 2, J. Lecoq 1, J. Pouxe 2, J. Colas 1, (1) L. A. P. P. Annecy-le-vieux, France (2) I. S. N. Grenoble,

More information

Power Reduction and Glitch free MUX based Digitally Controlled Delay-Lines

Power Reduction and Glitch free MUX based Digitally Controlled Delay-Lines Power Reduction and Glitch free MUX based Digitally Controlled Delay-Lines MARY PAUL 1, AMRUTHA. E 2 1 (PG Student, Dhanalakshmi Srinivasan College of Engineering, Coimbatore) 2 (Assistant Professor, Dhanalakshmi

More information

A 5-Gb/s Half-rate Clock Recovery Circuit in 0.25-μm CMOS Technology

A 5-Gb/s Half-rate Clock Recovery Circuit in 0.25-μm CMOS Technology A 5-Gb/s Half-rate Clock Recovery Circuit in 0.25-μm CMOS Technology Pyung-Su Han Dept. of Electrical and Electronic Engineering Yonsei University Seoul, Korea ps@tera.yonsei.ac.kr Woo-Young Choi Dept.

More information

Abstract 1. INTRODUCTION. Cheekati Sirisha, IJECS Volume 05 Issue 10 Oct., 2016 Page No Page 18532

Abstract 1. INTRODUCTION. Cheekati Sirisha, IJECS Volume 05 Issue 10 Oct., 2016 Page No Page 18532 www.ijecs.in International Journal Of Engineering And Computer Science ISSN: 2319-7242 Volume 5 Issue 10 Oct. 2016, Page No. 18532-18540 Pulsed Latches Methodology to Attain Reduced Power and Area Based

More information

Area-Efficient Decimation Filter with 50/60 Hz Power-Line Noise Suppression for ΔΣ A/D Converters

Area-Efficient Decimation Filter with 50/60 Hz Power-Line Noise Suppression for ΔΣ A/D Converters SICE Journal of Control, Measurement, and System Integration, Vol. 10, No. 3, pp. 165 169, May 2017 Special Issue on SICE Annual Conference 2016 Area-Efficient Decimation Filter with 50/60 Hz Power-Line

More information

Efficient 500 MHz Digital Phase Locked Loop Implementation sin 180nm CMOS Technology

Efficient 500 MHz Digital Phase Locked Loop Implementation sin 180nm CMOS Technology Efficient 500 MHz Digital Phase Locked Loop Implementation sin 180nm CMOS Technology Akash Singh Rawat 1, Kirti Gupta 2 Electronics and Communication Department, Bharati Vidyapeeth s College of Engineering,

More information

IN DIGITAL transmission systems, there are always scramblers

IN DIGITAL transmission systems, there are always scramblers 558 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 7, JULY 2006 Parallel Scrambler for High-Speed Applications Chih-Hsien Lin, Chih-Ning Chen, You-Jiun Wang, Ju-Yuan Hsiao,

More information

INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET)

INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) Proceedings of the 2 nd International Conference on Current Trends in Engineering and Management ICCTEM -2014 ISSN

More information

Efficient Architecture for Flexible Prescaler Using Multimodulo Prescaler

Efficient Architecture for Flexible Prescaler Using Multimodulo Prescaler Efficient Architecture for Flexible Using Multimodulo G SWETHA, S YUVARAJ Abstract This paper, An Efficient Architecture for Flexible Using Multimodulo is an architecture which is designed from the proposed

More information

SDA 3302 Family. GHz PLL with I 2 C Bus and Four Chip Addresses

SDA 3302 Family. GHz PLL with I 2 C Bus and Four Chip Addresses GHz PLL with I 2 C Bus and Four Chip Addresses Preliminary Data Features 1-chip system for MPU control (I 2 C bus) 4 programmable chip addresses Short pull-in time for quick channel switch-over and optimized

More information

A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY

A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY Ms. Chaitali V. Matey 1, Ms. Shraddha K. Mendhe 2, Mr. Sandip A.

More information

EFFICIENT DESIGN OF SHIFT REGISTER FOR AREA AND POWER REDUCTION USING PULSED LATCH

EFFICIENT DESIGN OF SHIFT REGISTER FOR AREA AND POWER REDUCTION USING PULSED LATCH EFFICIENT DESIGN OF SHIFT REGISTER FOR AREA AND POWER REDUCTION USING PULSED LATCH 1 Kalaivani.S, 2 Sathyabama.R 1 PG Scholar, 2 Professor/HOD Department of ECE, Government College of Technology Coimbatore,

More information

Design of an Efficient Low Power Multi Modulus Prescaler

Design of an Efficient Low Power Multi Modulus Prescaler International Journal of Engineering Research and Development e-issn: 2278-067X, p-issn: 2278-800X, www.ijerd.com Volume 6, Issue 3 (March 2013), PP. 15-22 Design of an Efficient Low Power Multi Modulus

More information

EMI/EMC diagnostic and debugging

EMI/EMC diagnostic and debugging EMI/EMC diagnostic and debugging 1 Introduction to EMI The impact of Electromagnetism Even on a simple PCB circuit, Magnetic & Electric Field are generated as long as current passes through the conducting

More information

A New Overlap-Scan Circuit for High Speed and Low Data Voltage in Plasma-TV

A New Overlap-Scan Circuit for High Speed and Low Data Voltage in Plasma-TV 1218 A New Overlap-Scan Circuit for High Speed and Low Data Voltage in Plasma-TV Byung-Gwon Cho, Heung-Sik Tae, Senior Member, IEEE, Dong Ho Lee, and Sung-IL Chien, Member, IEEE Abstract A new overlap-scan

More information

A MISSILE INSTRUMENTATION ENCODER

A MISSILE INSTRUMENTATION ENCODER A MISSILE INSTRUMENTATION ENCODER Item Type text; Proceedings Authors CONN, RAYMOND; BREEDLOVE, PHILLIP Publisher International Foundation for Telemetering Journal International Telemetering Conference

More information

Techniques for Extending Real-Time Oscilloscope Bandwidth

Techniques for Extending Real-Time Oscilloscope Bandwidth Techniques for Extending Real-Time Oscilloscope Bandwidth Over the past decade, data communication rates have increased by a factor well over 10X. Data rates that were once 1Gb/sec and below are now routinely

More information

Reduction of Area and Power of Shift Register Using Pulsed Latches

Reduction of Area and Power of Shift Register Using Pulsed Latches I J C T A, 9(13) 2016, pp. 6229-6238 International Science Press Reduction of Area and Power of Shift Register Using Pulsed Latches Md Asad Eqbal * & S. Yuvaraj ** ABSTRACT The timing element and clock

More information

EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP. Due İLKER KALYONCU, 10043

EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP. Due İLKER KALYONCU, 10043 EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP Due 16.05. İLKER KALYONCU, 10043 1. INTRODUCTION: In this project we are going to design a CMOS positive edge triggered master-slave

More information

Low-Power and Area-Efficient Shift Register Using Pulsed Latches

Low-Power and Area-Efficient Shift Register Using Pulsed Latches Low-Power and Area-Efficient Shift Register Using Pulsed Latches G.Sunitha M.Tech, TKR CET. P.Venkatlavanya, M.Tech Associate Professor, TKR CET. Abstract: This paper proposes a low-power and area-efficient

More information

Optimizing BNC PCB Footprint Designs for Digital Video Equipment

Optimizing BNC PCB Footprint Designs for Digital Video Equipment Optimizing BNC PCB Footprint Designs for Digital Video Equipment By Tsun-kit Chin Applications Engineer, Member of Technical Staff National Semiconductor Corp. Introduction An increasing number of video

More information

Design Low-Power and Area-Efficient Shift Register using SSASPL Pulsed Latch

Design Low-Power and Area-Efficient Shift Register using SSASPL Pulsed Latch Design Low-Power and Area-Efficient Shift Register using SSASPL Pulsed Latch 1 D. Sandhya Rani, 2 Maddana, 1 PG Scholar, Dept of VLSI System Design, Geetanjali college of engineering & technology, 2 Hod

More information

ELEN Electronique numérique

ELEN Electronique numérique ELEN0040 - Electronique numérique Patricia ROUSSEAUX Année académique 2014-2015 CHAPITRE 5 Sequential circuits design - Timing issues ELEN0040 5-228 1 Sequential circuits design 1.1 General procedure 1.2

More information

PAPER A 1.25-Gb/s Digitally-Controlled Dual-Loop Clock and Data Recovery Circuit with Enhanced Phase Resolution

PAPER A 1.25-Gb/s Digitally-Controlled Dual-Loop Clock and Data Recovery Circuit with Enhanced Phase Resolution IEICE TRANS. ELECTRON., VOL.E90 C, NO.1 JANUARY 2007 165 PAPER A 1.25-Gb/s Digitally-Controlled Dual-Loop Clock and Data Recovery Circuit with Enhanced Phase Resolution Chang-Kyung SEONG a), Seung-Woo

More information

Project 6: Latches and flip-flops

Project 6: Latches and flip-flops Project 6: Latches and flip-flops Yuan Ze University epartment of Computer Engineering and Science Copyright by Rung-Bin Lin, 1999 All rights reserved ate out: 06/5/2003 ate due: 06/25/2003 Purpose: This

More information

CMOS Low Power, High Speed Dual- Modulus32/33Prescalerin sub-nanometer Technology

CMOS Low Power, High Speed Dual- Modulus32/33Prescalerin sub-nanometer Technology IJSTE International Journal of Science Technology & Engineering Vol. 1, Issue 1, July 2014 ISSN(online): 2349-784X CMOS Low Power, High Speed Dual- Modulus32/33Prescalerin sub-nanometer Technology Dabhi

More information

Design of High Speed Phase Frequency Detector in 0.18 μm CMOS Process for PLL Application

Design of High Speed Phase Frequency Detector in 0.18 μm CMOS Process for PLL Application Design of High Speed Phase Frequency Detector in 0.18 μm CMOS Process for PLL Application Prof. Abhinav V. Deshpande Assistant Professor Department of Electronics & Telecommunication Engineering Prof.

More information

Digital Delay / Pulse Generator DG535 Digital delay and pulse generator (4-channel)

Digital Delay / Pulse Generator DG535 Digital delay and pulse generator (4-channel) Digital Delay / Pulse Generator Digital delay and pulse generator (4-channel) Digital Delay/Pulse Generator Four independent delay channels Two fully defined pulse channels 5 ps delay resolution 50 ps

More information

Timing EECS141 EE141. EE141-Fall 2011 Digital Integrated Circuits. Pipelining. Administrative Stuff. Last Lecture. Latch-Based Clocking.

Timing EECS141 EE141. EE141-Fall 2011 Digital Integrated Circuits. Pipelining. Administrative Stuff. Last Lecture. Latch-Based Clocking. EE141-Fall 2011 Digital Integrated Circuits Lecture 2 Clock, I/O Timing 1 4 Administrative Stuff Pipelining Project Phase 4 due on Monday, Nov. 21, 10am Homework 9 Due Thursday, December 1 Visit to Intel

More information

A Low Power Delay Buffer Using Gated Driver Tree

A Low Power Delay Buffer Using Gated Driver Tree IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) ISSN: 2319 4200, ISBN No. : 2319 4197 Volume 1, Issue 4 (Nov. - Dec. 2012), PP 26-30 A Low Power Delay Buffer Using Gated Driver Tree Kokkilagadda

More information

International Journal of Engineering Research-Online A Peer Reviewed International Journal

International Journal of Engineering Research-Online A Peer Reviewed International Journal RESEARCH ARTICLE ISSN: 2321-7758 VLSI IMPLEMENTATION OF SERIES INTEGRATOR COMPOSITE FILTERS FOR SIGNAL PROCESSING MURALI KRISHNA BATHULA Research scholar, ECE Department, UCEK, JNTU Kakinada ABSTRACT The

More information

A Modified Static Contention Free Single Phase Clocked Flip-flop Design for Low Power Applications

A Modified Static Contention Free Single Phase Clocked Flip-flop Design for Low Power Applications JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.8, NO.5, OCTOBER, 08 ISSN(Print) 598-657 https://doi.org/57/jsts.08.8.5.640 ISSN(Online) -4866 A Modified Static Contention Free Single Phase Clocked

More information

Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register

Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register International Journal for Modern Trends in Science and Technology Volume: 02, Issue No: 10, October 2016 http://www.ijmtst.com ISSN: 2455-3778 Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift

More information

EE241 - Spring 2005 Advanced Digital Integrated Circuits

EE241 - Spring 2005 Advanced Digital Integrated Circuits EE241 - Spring 2005 Advanced Digital Integrated Circuits Lecture 21: Asynchronous Design Synchronization Clock Distribution Self-Timed Pipelined Datapath Req Ack HS Req Ack HS Req Ack HS Req Ack Start

More information

Metastability Analysis of Synchronizer

Metastability Analysis of Synchronizer Forn International Journal of Scientific Research in Computer Science and Engineering Research Paper Vol-1, Issue-3 ISSN: 2320 7639 Metastability Analysis of Synchronizer Ankush S. Patharkar *1 and V.

More information

Design of a High Frequency Dual Modulus Prescaler using Efficient TSPC Flip Flop using 180nm Technology

Design of a High Frequency Dual Modulus Prescaler using Efficient TSPC Flip Flop using 180nm Technology Design of a High Frequency Dual Modulus Prescaler using Efficient TSPC Flip Flop using 180nm Technology Divya shree.m 1, H. Venkatesh kumar 2 PG Student, Dept. of ECE, Nagarjuna College of Engineering

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2011

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2011 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2011 Lecture 9: TX Multiplexer Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements & Agenda Next

More information

Analysis of Digitally Controlled Delay Loop-NAND Gate for Glitch Free Design

Analysis of Digitally Controlled Delay Loop-NAND Gate for Glitch Free Design Analysis of Digitally Controlled Delay Loop-NAND Gate for Glitch Free Design S. Karpagambal, PG Scholar, VLSI Design, Sona College of Technology, Salem, India. e-mail:karpagambals.nsit@gmail.com M.S. Thaen

More information

Measurements of metastability in MUTEX on an FPGA

Measurements of metastability in MUTEX on an FPGA LETTER IEICE Electronics Express, Vol.15, No.1, 1 11 Measurements of metastability in MUTEX on an FPGA Nguyen Van Toan, Dam Minh Tung, and Jeong-Gun Lee a) E-SoC Lab/Smart Computing Lab, Dept. of Computer

More information

Clocking Spring /18/05

Clocking Spring /18/05 ing L06 s 1 Why s and Storage Elements? Inputs Combinational Logic Outputs Want to reuse combinational logic from cycle to cycle L06 s 2 igital Systems Timing Conventions All digital systems need a convention

More information

Electrical & Computer Engineering ECE 491. Introduction to VLSI. Report 1

Electrical & Computer Engineering ECE 491. Introduction to VLSI. Report 1 Electrical & Computer Engineering ECE 491 Introduction to VLSI Report 1 Marva` Morrow INTRODUCTION Flip-flops are synchronous bistable devices (multivibrator) that operate as memory elements. A bistable

More information

A Symmetric Differential Clock Generator for Bit-Serial Hardware

A Symmetric Differential Clock Generator for Bit-Serial Hardware A Symmetric Differential Clock Generator for Bit-Serial Hardware Mitchell J. Myjak and José G. Delgado-Frias School of Electrical Engineering and Computer Science Washington State University Pullman, WA,

More information

A Power Efficient Flip Flop by using 90nm Technology

A Power Efficient Flip Flop by using 90nm Technology A Power Efficient Flip Flop by using 90nm Technology Mrs. Y. Lavanya Associate Professor, ECE Department, Ramachandra College of Engineering, Eluru, W.G (Dt.), A.P, India. Email: lavanya.rcee@gmail.com

More information

De-embedding Techniques For Passive Components Implemented on a 0.25 µm Digital CMOS Process

De-embedding Techniques For Passive Components Implemented on a 0.25 µm Digital CMOS Process PIERS ONLINE, VOL. 3, NO. 2, 27 184 De-embedding Techniques For Passive Components Implemented on a.25 µm Digital CMOS Process Marc D. Rosales, Honee Lyn Tan, Louis P. Alarcon, and Delfin Jay Sabido IX

More information

Large Area, High Speed Photo-detectors Readout

Large Area, High Speed Photo-detectors Readout Large Area, High Speed Photo-detectors Readout Jean-Francois Genat + On behalf and with the help of Herve Grabas +, Samuel Meehan +, Eric Oberla +, Fukun Tang +, Gary Varner ++, and Henry Frisch + + University

More information

PHASE-LOCKED loops (PLLs) are widely used in many

PHASE-LOCKED loops (PLLs) are widely used in many IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 52, NO. 5, MAY 2005 233 A Portable Digitally Controlled Oscillator Using Novel Varactors Pao-Lung Chen, Ching-Che Chung, and Chen-Yi Lee

More information

Combating Closed Eyes Design & Measurement of Pre-Emphasis and Equalization for Lossy Channels

Combating Closed Eyes Design & Measurement of Pre-Emphasis and Equalization for Lossy Channels Combating Closed Eyes Design & Measurement of Pre-Emphasis and Equalization for Lossy Channels Why Test the Receiver? Serial Data communications standards have always specified both the transmitter and

More information

Combating Closed Eyes Design & Measurement of Pre-Emphasis and Equalization for Lossy Channels

Combating Closed Eyes Design & Measurement of Pre-Emphasis and Equalization for Lossy Channels Combating Closed Eyes Design & Measurement of Pre-Emphasis and Equalization for Lossy Channels Why Test the Receiver? Serial Data communications standards have always specified both the transmitter and

More information

Troubleshooting EMI in Embedded Designs White Paper

Troubleshooting EMI in Embedded Designs White Paper Troubleshooting EMI in Embedded Designs White Paper Abstract Today, engineers need reliable information fast, and to ensure compliance with regulations for electromagnetic compatibility in the most economical

More information

Design of a Low Power and Area Efficient Flip Flop With Embedded Logic Module

Design of a Low Power and Area Efficient Flip Flop With Embedded Logic Module IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 10, Issue 6, Ver. II (Nov - Dec.2015), PP 40-50 www.iosrjournals.org Design of a Low Power

More information

EECS150 - Digital Design Lecture 2 - CMOS

EECS150 - Digital Design Lecture 2 - CMOS EECS150 - Digital Design Lecture 2 - CMOS January 23, 2003 John Wawrzynek Spring 2003 EECS150 - Lec02-CMOS Page 1 Outline Overview of Physical Implementations CMOS devices Announcements/Break CMOS transistor

More information

DESIGN AND IMPLEMENTATION OF SYNCHRONOUS 4-BIT UP COUNTER USING 180NM CMOS PROCESS TECHNOLOGY

DESIGN AND IMPLEMENTATION OF SYNCHRONOUS 4-BIT UP COUNTER USING 180NM CMOS PROCESS TECHNOLOGY DESIGN AND IMPLEMENTATION OF SYNCHRONOUS 4-BIT UP COUNTER USING 180NM CMOS PROCESS TECHNOLOGY Yogita Hiremath 1, Akalpita L. Kulkarni 2, J. S. Baligar 3 1 PG Student, Dept. of ECE, Dr.AIT, Bangalore, Karnataka,

More information

Design Project: Designing a Viterbi Decoder (PART I)

Design Project: Designing a Viterbi Decoder (PART I) Digital Integrated Circuits A Design Perspective 2/e Jan M. Rabaey, Anantha Chandrakasan, Borivoje Nikolić Chapters 6 and 11 Design Project: Designing a Viterbi Decoder (PART I) 1. Designing a Viterbi

More information

Open loop tracking of radio occultation signals in the lower troposphere

Open loop tracking of radio occultation signals in the lower troposphere Open loop tracking of radio occultation signals in the lower troposphere S. Sokolovskiy University Corporation for Atmospheric Research Boulder, CO Refractivity profiles used for simulations (1-3) high

More information

A Luminance Adjusting Algorithm for High Resolution and High Image Quality AMOLED Displays of Mobile Phone Applications

A Luminance Adjusting Algorithm for High Resolution and High Image Quality AMOLED Displays of Mobile Phone Applications H.-J. In et al.: A uminance Adjusting Algorithm for High Resolution and High Image Quality AMOED Displays of Mobile Phone Applications A uminance Adjusting Algorithm for High Resolution and High Image

More information

LFSR Counter Implementation in CMOS VLSI

LFSR Counter Implementation in CMOS VLSI LFSR Counter Implementation in CMOS VLSI Doshi N. A., Dhobale S. B., and Kakade S. R. Abstract As chip manufacturing technology is suddenly on the threshold of major evaluation, which shrinks chip in size

More information

Quartzlock Model A7-MX Close-in Phase Noise Measurement & Ultra Low Noise Allan Variance, Phase/Frequency Comparison

Quartzlock Model A7-MX Close-in Phase Noise Measurement & Ultra Low Noise Allan Variance, Phase/Frequency Comparison Quartzlock Model A7-MX Close-in Phase Noise Measurement & Ultra Low Noise Allan Variance, Phase/Frequency Comparison Measurement of RF & Microwave Sources Cosmo Little and Clive Green Quartzlock (UK) Ltd,

More information

Figure.1 Clock signal II. SYSTEM ANALYSIS

Figure.1 Clock signal II. SYSTEM ANALYSIS International Journal of Advances in Engineering, 2015, 1(4), 518-522 ISSN: 2394-9260 (printed version); ISSN: 2394-9279 (online version); url:http://www.ijae.in RESEARCH ARTICLE Multi bit Flip-Flop Grouping

More information

ADC Peripheral in Microcontrollers. Petr Cesak, Jan Fischer, Jaroslav Roztocil

ADC Peripheral in Microcontrollers. Petr Cesak, Jan Fischer, Jaroslav Roztocil ADC Peripheral in s Petr Cesak, Jan Fischer, Jaroslav Roztocil Czech Technical University in Prague, Faculty of Electrical Engineering Technicka 2, CZ-16627 Prague 6, Czech Republic Phone: +420-224 352

More information

Sharif University of Technology. SoC: Introduction

Sharif University of Technology. SoC: Introduction SoC Design Lecture 1: Introduction Shaahin Hessabi Department of Computer Engineering System-on-Chip System: a set of related parts that act as a whole to achieve a given goal. A system is a set of interacting

More information

Field Programmable Gate Array (FPGA) Based Trigger System for the Klystron Department. Darius Gray

Field Programmable Gate Array (FPGA) Based Trigger System for the Klystron Department. Darius Gray SLAC-TN-10-007 Field Programmable Gate Array (FPGA) Based Trigger System for the Klystron Department Darius Gray Office of Science, Science Undergraduate Laboratory Internship Program Texas A&M University,

More information

A Flash Time-to-Digital Converter with Two Independent Time Coding Lines. Ryszard Szplet, Zbigniew Jachna, Jozef Kalisz

A Flash Time-to-Digital Converter with Two Independent Time Coding Lines. Ryszard Szplet, Zbigniew Jachna, Jozef Kalisz A Flash Time-to-Digital Converter with Two Independent Time Coding Lines Ryszard Szplet, Zbigniew Jachna, Jozef Kalisz Military University of Technology, Gen. S. Kaliskiego 2, 00-908 Warsaw 49, Poland

More information

12-bit Wallace Tree Multiplier CMPEN 411 Final Report Matthew Poremba 5/1/2009

12-bit Wallace Tree Multiplier CMPEN 411 Final Report Matthew Poremba 5/1/2009 12-bit Wallace Tree Multiplier CMPEN 411 Final Report Matthew Poremba 5/1/2009 Project Overview This project was originally titled Fast Fourier Transform Unit, but due to space and time constraints, the

More information

Chapter 5 Flip-Flops and Related Devices

Chapter 5 Flip-Flops and Related Devices Chapter 5 Flip-Flops and Related Devices Chapter 5 Objectives Selected areas covered in this chapter: Constructing/analyzing operation of latch flip-flops made from NAND or NOR gates. Differences of synchronous/asynchronous

More information

ISSN Vol.08,Issue.24, December-2016, Pages:

ISSN Vol.08,Issue.24, December-2016, Pages: ISSN 2348 2370 Vol.08,Issue.24, December-2016, Pages:4666-4671 www.ijatir.org Design and Analysis of Shift Register using Pulse Triggered Latches N. NEELUFER 1, S. RAMANJI NAIK 2, B. SURESH BABU 3 1 PG

More information

AN EFFICIENT LOW POWER DESIGN FOR ASYNCHRONOUS DATA SAMPLING IN DOUBLE EDGE TRIGGERED FLIP-FLOPS

AN EFFICIENT LOW POWER DESIGN FOR ASYNCHRONOUS DATA SAMPLING IN DOUBLE EDGE TRIGGERED FLIP-FLOPS AN EFFICIENT LOW POWER DESIGN FOR ASYNCHRONOUS DATA SAMPLING IN DOUBLE EDGE TRIGGERED FLIP-FLOPS NINU ABRAHAM 1, VINOJ P.G 2 1 P.G Student [VLSI & ES], SCMS School of Engineering & Technology, Cochin,

More information

High Performance TFT LCD Driver ICs for Large-Size Displays

High Performance TFT LCD Driver ICs for Large-Size Displays Name: Eugenie Ip Title: Technical Marketing Engineer Company: Solomon Systech Limited www.solomon-systech.com The TFT LCD market has rapidly evolved in the last decade, enabling the occurrence of large

More information

Design and Simulation of a Digital CMOS Synchronous 4-bit Up-Counter with Set and Reset

Design and Simulation of a Digital CMOS Synchronous 4-bit Up-Counter with Set and Reset Design and Simulation of a Digital CMOS Synchronous 4-bit Up-Counter with Set and Reset Course Number: ECE 533 Spring 2013 University of Tennessee Knoxville Instructor: Dr. Syed Kamrul Islam Prepared by

More information

DESIGN OF LOW POWER TEST PATTERN GENERATOR

DESIGN OF LOW POWER TEST PATTERN GENERATOR International Journal of Electronics, Communication & Instrumentation Engineering Research and Development (IJECIERD) ISSN(P): 2249-684X; ISSN(E): 2249-7951 Vol. 4, Issue 1, Feb 2014, 59-66 TJPRC Pvt.

More information

Loop Bandwidth Optimization and Jitter Measurement Techniques for Serial HDTV Systems

Loop Bandwidth Optimization and Jitter Measurement Techniques for Serial HDTV Systems Abstract: Loop Bandwidth Optimization and Jitter Measurement Techniques for Serial HDTV Systems Atul Krishna Gupta, Aapool Biman and Dino Toffolon Gennum Corporation This paper describes a system level

More information

Logic Analyzer Triggering Techniques to Capture Elusive Problems

Logic Analyzer Triggering Techniques to Capture Elusive Problems Logic Analyzer Triggering Techniques to Capture Elusive Problems Efficient Solutions to Elusive Problems For digital designers who need to verify and debug their product designs, logic analyzers provide

More information

HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP

HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP 1 R.Ramya, 2 C.Hamsaveni 1,2 PG Scholar, Department of ECE, Hindusthan Institute Of Technology,

More information

Retiming Sequential Circuits for Low Power

Retiming Sequential Circuits for Low Power Retiming Sequential Circuits for Low Power José Monteiro, Srinivas Devadas Department of EECS MIT, Cambridge, MA Abhijit Ghosh Mitsubishi Electric Research Laboratories Sunnyvale, CA Abstract Switching

More information

FLIP-FLOPS and latches, which we collectively refer to as

FLIP-FLOPS and latches, which we collectively refer to as 1294 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 8, AUGUST 2004 A Test Circuit for Measurement of Clocked Storage Element Characteristics Nikola Nedovic, Member, IEEE, William W. Walker, Member,

More information

55:131 Introduction to VLSI Design Project #1 -- Fall 2009 Counter built from NAND gates, timing Due Date: Friday October 9, 2009.

55:131 Introduction to VLSI Design Project #1 -- Fall 2009 Counter built from NAND gates, timing Due Date: Friday October 9, 2009. 55:131 Introduction to VLSI Design Project #1 -- Fall 2009 Counter built from NAND gates, timing Due Date: Friday October 9, 2009 Introduction In this project we will create a transistor-level model of

More information

HIGH SPEED CLOCK DISTRIBUTION NETWORK USING CURRENT MODE DOUBLE EDGE TRIGGERED FLIP FLOP WITH ENABLE

HIGH SPEED CLOCK DISTRIBUTION NETWORK USING CURRENT MODE DOUBLE EDGE TRIGGERED FLIP FLOP WITH ENABLE HIGH SPEED CLOCK DISTRIBUTION NETWORK USING CURRENT MODE DOUBLE EDGE TRIGGERED FLIP FLOP WITH ENABLE 1 Remil Anita.D, and 2 Jayasanthi.M, Karpagam College of Engineering, Coimbatore,India. Email: 1 :remiljobin92@gmail.com;

More information

Features. For price, delivery, and to place orders, please contact Hittite Microwave Corporation:

Features. For price, delivery, and to place orders, please contact Hittite Microwave Corporation: HMC-C1 Typical Applications The HMC-C1 is ideal for: OC-78 and SDH STM-25 Equipment Serial Data Transmission up to 5 Gbps Short, intermediate, and long haul fiber optic applications Broadband Test and

More information

SWITCH: Microcontroller Touch-switch Design & Test (Part 2)

SWITCH: Microcontroller Touch-switch Design & Test (Part 2) SWITCH: Microcontroller Touch-switch Design & Test (Part 2) 2 nd Year Electronics Lab IMPERIAL COLLEGE LONDON v2.09 Table of Contents Equipment... 2 Aims... 2 Objectives... 2 Recommended Timetable... 2

More information

Computer Systems Architecture

Computer Systems Architecture Computer Systems Architecture Fundamentals Of Digital Logic 1 Our Goal Understand Fundamentals and basics Concepts How computers work at the lowest level Avoid whenever possible Complexity Implementation

More information

High Frequency 32/33 Prescalers Using 2/3 Prescaler Technique

High Frequency 32/33 Prescalers Using 2/3 Prescaler Technique High Frequency 32/33 Prescalers Using 2/3 Prescaler Technique Don P John (School of Electrical Sciences, Karunya University, Coimbatore ABSTRACT Frequency synthesizer is one of the important element for

More information

ELEC 4609 IC DESIGN TERM PROJECT: DYNAMIC PRSG v1.2

ELEC 4609 IC DESIGN TERM PROJECT: DYNAMIC PRSG v1.2 ELEC 4609 IC DESIGN TERM PROJECT: DYNAMIC PRSG v1.2 The goal of this project is to design a chip that could control a bicycle taillight to produce an apparently random flash sequence. The chip should operate

More information

Digital Correction for Multibit D/A Converters

Digital Correction for Multibit D/A Converters Digital Correction for Multibit D/A Converters José L. Ceballos 1, Jesper Steensgaard 2 and Gabor C. Temes 1 1 Dept. of Electrical Engineering and Computer Science, Oregon State University, Corvallis,

More information

HMC958LC5 HIGH SPEED LOGIC - SMT. Typical Applications. Features. Functional Diagram. General Description

HMC958LC5 HIGH SPEED LOGIC - SMT. Typical Applications. Features. Functional Diagram. General Description Typical Applications Features The HMC958LC5 is ideal for: SONET OC-192 and 1 GbE 16G Fiber Channel 4:1 Multiplexer Built-In Test Broadband Test & Measurement Functional Diagram Supports High Data Rates:

More information

Texas Instruments TNETE2201 Ethernet Transceiver Circuit Analysis

Texas Instruments TNETE2201 Ethernet Transceiver Circuit Analysis October 31, 2003 Texas Instruments TNETE2201 Ethernet Transceiver Circuit Analysis Table of Contents List of Figures...Page 1 Introduction...Page 4 Device Summary Sheet...Page 6 Top Level Diagram...Tab

More information

Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky,

Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky, Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky, tomott}@berkeley.edu Abstract With the reduction of feature sizes, more sources

More information

Energy Recovery Clocking Scheme and Flip-Flops for Ultra Low-Energy Applications

Energy Recovery Clocking Scheme and Flip-Flops for Ultra Low-Energy Applications Energy Recovery Clocking Scheme and Flip-Flops for Ultra Low-Energy Applications Matthew Cooke, Hamid Mahmoodi-Meimand, Kaushik Roy School of Electrical and Computer Engineering, Purdue University, West

More information

LOW POWER DIGITAL EQUALIZATION FOR HIGH SPEED SERDES. Masum Hossain University of Alberta

LOW POWER DIGITAL EQUALIZATION FOR HIGH SPEED SERDES. Masum Hossain University of Alberta LOW POWER DIGITAL EQUALIZATION FOR HIGH SPEED SERDES Masum Hossain University of Alberta 0 Outline Why ADC-Based receiver? Challenges in ADC-based receiver ADC-DSP based Receiver Reducing impact of Quantization

More information

Technology Scaling Issues of an I DDQ Built-In Current Sensor

Technology Scaling Issues of an I DDQ Built-In Current Sensor Technology Scaling Issues of an I DDQ Built-In Current Sensor Bin Xue, D. M. H. Walker Dept. of Computer Science Texas A&M University College Station TX 77843-3112 Tel: (979) 862-4387 Email: {binxue, walker}@cs.tamu.edu

More information

P.Akila 1. P a g e 60

P.Akila 1. P a g e 60 Designing Clock System Using Power Optimization Techniques in Flipflop P.Akila 1 Assistant Professor-I 2 Department of Electronics and Communication Engineering PSR Rengasamy college of engineering for

More information

CHAPTER 3 SEPARATION OF CONDUCTED EMI

CHAPTER 3 SEPARATION OF CONDUCTED EMI 54 CHAPTER 3 SEPARATION OF CONDUCTED EMI The basic principle of noise separator is described in this chapter. The construction of the hardware and its actual performance are reported. This chapter proposes

More information

LOW POWER AND HIGH PERFORMANCE SHIFT REGISTERS USING PULSED LATCH TECHNIQUE

LOW POWER AND HIGH PERFORMANCE SHIFT REGISTERS USING PULSED LATCH TECHNIQUE OI: 10.21917/ijme.2018.0088 LOW POWER AN HIGH PERFORMANCE SHIFT REGISTERS USING PULSE LATCH TECHNIUE Vandana Niranjan epartment of Electronics and Communication Engineering, Indira Gandhi elhi Technical

More information

EMC-Scanner. HR-series

EMC-Scanner. HR-series EMC-Scanner HR-series Seeing high frequencies! Now you can SEE high frequency electromagnetic fields. Visual noise detection The fact that there is no easy way to find the exact location of a radiating

More information

Sequencing. Lan-Da Van ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall,

Sequencing. Lan-Da Van ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall, Sequencing ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall, 2013 ldvan@cs.nctu.edu.tw http://www.cs.nctu.edu.tw/~ldvan/ Outlines Introduction Sequencing

More information

FP 12.4: A CMOS Scheme for 0.5V Supply Voltage with Pico-Ampere Standby Current

FP 12.4: A CMOS Scheme for 0.5V Supply Voltage with Pico-Ampere Standby Current FP 12.4: A CMOS Scheme for 0.5V Supply Voltage with Pico-Ampere Standby Current Hiroshi Kawaguchi, Ko-ichi Nose, Takayasu Sakurai University of Tokyo, Tokyo, Japan Recently, low-power requirements are

More information