ELEN Electronique numérique

Size: px
Start display at page:

Download "ELEN Electronique numérique"

Transcription

1 ELEN Electronique numérique Patricia ROUSSEAUX Année académique

2 CHAPITRE 6 Registers and Counters ELEN

3 Design of a modulo-8 binary counter using JK Flip-flops 3 bits are required = 3 flip-flops State table Present State Next State Flip-flop inputs Q 2 Q 1 Q 0 Q 2 Q 1 Q 0 J 2 K 2 J 1 K 1 J 0 K X 0 X 1 X X 1 X X X X 0 1 X X X 1 X X 0 0 X 1 X X 0 1 X X X 0 X 0 1 X X 1 X 1 X 1 Flip-flop inputs are derived from the excitation table Q(t) Q(t + 1) J K X X 1 0 X X 0 ELEN

4 Flip-flop input equations J 2 = Q 1 Q 0 J 1 = Q 0 J 0 = 1 K i = J i, i = 0, 1, 2 ELEN

5 Initialization The counter has to be initialized This has to be done synchronously A general counter can be used, using the Load mode For incomplete state sequence (e.g. BCD counter), the system has to be initialized at a valid state Never use the asynchronous flip-flop inputs to initialize the counter in normal operation This should be done only at power-up or reset conditions ELEN

6 A very bad practice We want to build a modulo-7 counter We use a general 4-bit counter : detect state 7 : 0111 and after detection use the clear input of the counter to go back to state 0000 But, the clear input acts directly on the asynchronous reset inputs of the flip-flops state 7 is transitory and the transition to 0000 will not happen at a clock edge Existence of state 7 may not be long enough to reliably reset all flip-flops to 0. Referred to as a suicide counter! ELEN

7 A better solution Detect state 6 : 0110 with Q 2 Q 1 This set load to 1 The 0000 present on the inputs D 3 to D 1 are loaded The counter switches synchronously to state 0000 as required ELEN

8 1 Registers 1.1 Storage registers 1.2 Shift registers 1.3 General purpose register 2 Counters 2.1 Asynchronous counter 2.2 Synchronous counter 2.3 Serial and parallel counters 3 Register transfers 3.1 Datapath, control unit and microoperations 3.2 Register transfer operations and RTL language 3.3 Microoperations 3.4 Register transfers design 3.5 Bus-based transfers 3.6 Serial operations ELEN

9 Datapath, control unit and microoperations The information stored in a digital system can be classified as data or control information The system is accordingly split into two parts : the datapath which performs data processing operations the control unit which determines the sequence of operations The datapath is defined by its registers and the operations performed on the data stored in the registers An elementary operation is called a microoperation : e.g. loading of data into one register, transferring data between two registers, adding the contents of two registers,... ELEN

10 Datapath and control unit communication Control and status signals allow the communication between the two parts : the control unit feeds the datapath with the control signals that characterize the operation to be performed the control unit receives status signals from the datapath the status signals inform the control unit about the state of the datapath this information is used by the control unit to determine the values of the control signals Additional signals, control inputs and control outputs, interact with other parts of the whole system Data can also be interchanged through data inputs and data outputs ELEN

11 Microoperations A microoperation is an elementary operation acting identically on all bits of the register and lasting a clock cycle at most. Microoperations can be classified into 4 categories : transfer : copy the data from one register to another arithmetic : perform arithmetic operation on data in registers (+,-,1 s complement,...) logic : manipulate data or use bitwise operation (and,or,...) shift : shift data in registers ELEN

12 Transfer operation and Register Transfer Language (RTL) Manipulation of registers use a particular notation denoted by Register Transfer Language letters and numbers denote a register : R2, PC,... parentheses are used to limit a range of register bits : R1(3), R2(1 : 4), PC(L) A data transfer is denoted by an arrow : R2 R1 : the contents of R1 is copied into R2 the contents of R1 is unchanged the transfer should be done in one clock cycle (microoperation) A transfer assumes that datapath circuits from outputs to inputs of registers are available (see bus) ELEN

13 Conditional transfer A conditional transfer occurs when a given condition is satisfied This is denoted by : if (K 1 = 1) then (R2 R1) K 1 : (R2 R1) K 1 is a control variable which acts on the load input of the register signal K 1 has to be synchronized to the clock ELEN

14 Arithmetic microoperations Example : RTL microoperation R0 R1 + R2 R0 R1 R0 R1 + 1 R0 R2 + R1 + 1 R0 R1 + 1 R0 R1 1 X K 1 : Description addition 1 s complement 2 s complement subtraction (2 s compl.) increment decrement R1 R1 + R2 XK 1 : R1 R1 + R2 + 1 in the transfer operation, R1 R1 + R2, + means arithmetic addition the variable K 1 enables the operation when K 1 = 1 the result is placed in R1 and replace the previous contents when K 1 = 0 no operation occurs, the contents of R1 is unchanged the variable X selects between addition and subtraction ELEN

15 Logical microoperations RTL microoperation R0 R1 R0 R1 R2 R0 R1 R2 R0 R1 R2 Description bitwise NOT bitwise OR (set bits) bitwise AND (clear bits) bitwise XOR (complement bits) Example : (K 1 + K 2 ) : R1 R1 R3 in the expression K 1 + K 2, + means logical OR in the transfer operation R1 R1 R3, means bitwise logical OR ELEN

16 Logical microoperations (continued) Let R1 = The OR microoperation can be used to set bits to 1 in the destination register when an appropriate mask is applied : for example, set 4 most significant bits to R1 (data) R2 (mask) R1 R1 R2 The AND microoperation is used to reset bits to 0 : R1 (data) R2 (mask) R1 R1 R2 the XOR microoperation can be used to complement bits : R1 (data) R2 (mask) R1 R1 R2 ELEN

17 Shift microoperations Registers can be shifted to the left or to the right, let R2 = left shift : R1 sl R2 R1 = a zero is entered at the least significant bit right shift : R1 sr R2 R1 = a zero is entered at the most significant bit sometimes, a separate flip-flop is used to provide the data shifted in or to memorize the data shifted out other shifts may be considered (rotate bits,...) ELEN

18 Microoperations on a single register Using control signals, several microoperations can be associated to a register. Examples : 1. general register with shift, parallel load and hold modes 2. K 1 : R0 R1, K 1K 2 : R0 R2 3. CX : A B, CY : A B These operations correspond to register transfers The source register or/and the microoperation has to be selected through a combinational circuit As already seen for example 1, the selection can be made using a multiplexer An alternative approach consists in designing the n-bit register with its combinational logic as the association of n identical register cells. A conventional approach is used for the design of the register cell If the same logic is used for each operation and/or source = dedicated logic If the combinational circuit can be shared by several sources or microoperations : shared logic The case of possible multiple destination registers will be considered later ELEN

19 Register transfers : selection through a multiplexer Consider example 2, where the source register has to be selected according to control variables K 1, K 2 A n-bit 2-to-1 multiplexer is used The selection input S is simply given by K 1 When : K1 = 1, the value in register R1 is transferred into register R0 K1 = 0 and K 2 = 1, the value in register R2 is transferred into register R0 in both cases, the Load input of the register is high and transfer is activated otherwise (K 1 = 0, K 2 = 0) : the Load input of the register is low the previous value in register R0 remains unchanged (hold mode) ELEN

20 Register transfers : Selection through a multiplexer The procedure can be generalized to n sources : outputs of dedicated logic blocks, registers or shared logic blocks the control signals are K 0,, K n 1 only one control signal is equal to one at any time the control signals are encoded to provide the selection inputs of the multiplexer ELEN

21 Register transfers design Specifications : one has to define for example 3 the destination register the data inputs to the register the control inputs and their useful combinations the set of register functions, i.e. the register transfers the hold mode : hold the current register state Design procedure : using a multiplexer using an individual cell design A B (CX, CY ), with combinations (0,0),(0,1),(1,0) CX : A B, (1,0) CY : A B, (0,1) (0,0) ELEN

22 Example 3, selection through a multiplexer The register transfers to be implemented are : CX : A B, CY : A B when CX = 0, CY = 0 : hold mode an encoder is not required, CX, CY are directly applied to the selecting inputs of the multiplexer ELEN

23 Example 3, selection through a multiplexer (continued) Consider the circuit cell for one bit of the register the decoder can be shared by all cells gate input cost : decoder : 8 non-shared logic : 2+8+9=19, for each bit for the whole circuit : n + 2 (shared logic, non-shared logic, one 2-OR gate) ELEN

24 Example 3, individual cell design Use the procedure defined in Chapter 5 for cell i State table Present state Next state A i (t) A i (t + 1) for CX CY B i (t) = flip-flop input equation : gate input cost : A i (t + 1) = CX B i + Āi(CY B i ) + A i CY + A i Bi = CX B i + Ā i (CY B i ) + A i (CY + B i ) = CX B i + Āi(CY.B i ) + A i CY.B i = CX B i + A i CY B i for one cell : 2 (CX.Bi ) + 2 (CY.B i ) + 8(XOR) + 2(OR) = 14 for the whole circuit = 14n! ELEN

25 Register transfers with multiple destination registers Digital systems have many registers Paths must be provided to transfer data from one register to the other A particular destination register has to be selected for each microoperation A possible solution is to use a multiplexer dedicated to each destination register Better solution : use a bus, i.e. a communication path shared by all register, and a single multiplexer to select the source Buses can be implemented using : multiplexers three state buffers ELEN

26 Dedicated MUX-Based transfers A very flexible transfer structure selection signals S i select the source register(s) control signals L i select the destination register(s) Possible transfers Select Load Register transfer S 2 S 1 S 0 L 2 L 1 L 0 R0 R R0 R1, R2 R R0 R1, R1 R ELEN

27 Multiplexer Bus The multiplexer selects the source register The control signals L i select the destination register(s) Possible transfers Select Load Register transfer S 1 S 0 L 2 L 1 L 0 R0 R R0 R1, R2 R R0 R1, R1 R0 impossible... A single bus driven by a multiplexer lowers the cost (less communication links, a single multiplexer) but limits the available transfers Simultaneous transfers with different sources in a single clock cycle are impossible ELEN

28 Buffer and Three-state buffer A buffer : simply copies the input variable : F = X is used to improve circuit voltage levels and increase the speed A three-state buffer presents a high-impedance output, giving three states : 0, 1 and High-Z the High-Z value behaves as an open circuit X is the data input and E is a control input Outputs of several 3-state buffers can be connected together provided that all outputs but one are in High-Z state ELEN

29 Selection circuit using 3-state logic Performing data selection with 3-state buffers 2 buffer outputs are connected together Data Selection Function : if S = 0, OL = IN0, else OL = IN1 Since EN0 = S and EN1 = S, one of the two buffer outputs is always High-Z ELEN

30 Three-state bus The multiplexer is replaced by 3-state buffers A three-state buffer is connected at the output of each flip-flop composing the register The enable signal EN is identical for all bits The inputs of the flip-flops are connected to the bus, most generally through a buffer (not represented in the figure) This provides a bi-directional bus The transfer capabilities are identical to those of the multiplexer-bus 3-state register with bidirectional lines 3-state bus ELEN

31 Serial transfers A system operates in serial mode if the information is transferred or manipulated (microoperation) one bit at a time The bits are shifted out of one register and into a second register This is done with shift registers, the serial output of the first register is connected to the serial input of the second register Example : the transfer of one binary word of 4 bits takes 4 clock cycles The operation is controlled by the clock-gated Shift variable ELEN

32 Serial microoperation example : 4bit-addition Two shift registers with parallel load capability are used for operands of the operation : A, B The two operands are loaded in parallel in the registers The operands are shifted at each clock cycle A full adder is used to compute the sum One additional flip-flop is used to store the carry Register A is also used to store the final result which is entered serially The final carry is stored in the flip-flop ELEN

33 Références Logic and Computer Design Fundamentals, 4/E, M. Morris Mano Charles Kime, Course material http ://writphotec.com/mano4/ Cours d électronique numérique, Aurélie Gensbittel, Bertrand Granado, Université Pierre et Marie Curie http ://bertrand.granado.free.fr/licence/ue201/ coursbeameranime.pdf Lecture notes, Course CSE370 - Introduction to Digital Design, Spring 2006, University of Washington, https ://courses.cs.washington.edu/courses/cse370/06sp/pdfs/ ELEN

34 ELEN

Registers, Register Transfers and Counters Dr. Fethullah Karabiber

Registers, Register Transfers and Counters Dr. Fethullah Karabiber 36 OMPUTER HARWARE Registers, Register Transfers and ounters r. Fethullah Karabiber Overview 2 Registers, Microoperations and Implementations Registers and load enable Register transfer operations Microoperations

More information

ELEN Electronique numérique

ELEN Electronique numérique ELEN0040 - Electronique numérique Patricia ROUSSEAUX Année académique 2014-2015 CHAPITRE 5 Sequential circuits design - Timing issues ELEN0040 5-228 1 Sequential circuits design 1.1 General procedure 1.2

More information

Logic and Computer Design Fundamentals. Chapter 7. Registers and Counters

Logic and Computer Design Fundamentals. Chapter 7. Registers and Counters Logic and Computer Design Fundamentals Chapter 7 Registers and Counters Registers Register a collection of binary storage elements In theory, a register is sequential logic which can be defined by a state

More information

Registers and Counters

Registers and Counters Registers and Counters Clocked sequential circuit = F/Fs and combinational gates Register Group of flip-flops (share a common clock and capable of storing one bit of information) Consist of a group of

More information

Logic Design. Flip Flops, Registers and Counters

Logic Design. Flip Flops, Registers and Counters Logic Design Flip Flops, Registers and Counters Introduction Combinational circuits: value of each output depends only on the values of inputs Sequential Circuits: values of outputs depend on inputs and

More information

Advanced Devices. Registers Counters Multiplexers Decoders Adders. CSC258 Lecture Slides Steve Engels, 2006 Slide 1 of 20

Advanced Devices. Registers Counters Multiplexers Decoders Adders. CSC258 Lecture Slides Steve Engels, 2006 Slide 1 of 20 Advanced Devices Using a combination of gates and flip-flops, we can construct more sophisticated logical devices. These devices, while more complex, are still considered fundamental to basic logic design.

More information

Chapter 2. Digital Circuits

Chapter 2. Digital Circuits Chapter 2. Digital Circuits Logic gates Flip-flops FF registers IC registers Data bus Encoders/Decoders Multiplexers Troubleshooting digital circuits Most contents of this chapter were covered in 88-217

More information

MODULE 3. Combinational & Sequential logic

MODULE 3. Combinational & Sequential logic MODULE 3 Combinational & Sequential logic Combinational Logic Introduction Logic circuit may be classified into two categories. Combinational logic circuits 2. Sequential logic circuits A combinational

More information

Registers and Counters

Registers and Counters Registers and Counters Clocked sequential circuit = F/Fs and combinational gates Register Group of flip-flops (share a common clock and capable of storing one bit of information) Consist of a group of

More information

1. Convert the decimal number to binary, octal, and hexadecimal.

1. Convert the decimal number to binary, octal, and hexadecimal. 1. Convert the decimal number 435.64 to binary, octal, and hexadecimal. 2. Part A. Convert the circuit below into NAND gates. Insert or remove inverters as necessary. Part B. What is the propagation delay

More information

CHAPTER 4: Logic Circuits

CHAPTER 4: Logic Circuits CHAPTER 4: Logic Circuits II. Sequential Circuits Combinational circuits o The outputs depend only on the current input values o It uses only logic gates, decoders, multiplexers, ALUs Sequential circuits

More information

Chapter 5 Sequential Circuits

Chapter 5 Sequential Circuits Logic and Computer Design Fundamentals Chapter 5 Sequential Circuits Part 2 Sequential Circuit Design Charles Kime & Thomas Kaminski 28 Pearson Education, Inc. (Hyperlinks are active in View Show mode)

More information

CHAPTER 4: Logic Circuits

CHAPTER 4: Logic Circuits CHAPTER 4: Logic Circuits II. Sequential Circuits Combinational circuits o The outputs depend only on the current input values o It uses only logic gates, decoders, multiplexers, ALUs Sequential circuits

More information

Logic Design II (17.342) Spring Lecture Outline

Logic Design II (17.342) Spring Lecture Outline Logic Design II (17.342) Spring 2012 Lecture Outline Class # 03 February 09, 2012 Dohn Bowden 1 Today s Lecture Registers and Counters Chapter 12 2 Course Admin 3 Administrative Admin for tonight Syllabus

More information

Chapter 4. Logic Design

Chapter 4. Logic Design Chapter 4 Logic Design 4.1 Introduction. In previous Chapter we studied gates and combinational circuits, which made by gates (AND, OR, NOT etc.). That can be represented by circuit diagram, truth table

More information

Chapter 3 Unit Combinational

Chapter 3 Unit Combinational EE 200: Digital Logic Circuit Design Dr Radwan E Abdel-Aal, COE Logic and Computer Design Fundamentals Chapter 3 Unit Combinational 5 Registers Logic and Design Counters Part Implementation Technology

More information

CSC Computer Architecture and Organization

CSC Computer Architecture and Organization S 37 - omputer Architecture and Organization Lecture 6: Registers and ounters Registers A register is a group of flip-flops. Each flip-flop stores one bit of data; n flip-flops are required to store n

More information

NH 67, Karur Trichy Highways, Puliyur C.F, Karur District UNIT-III SEQUENTIAL CIRCUITS

NH 67, Karur Trichy Highways, Puliyur C.F, Karur District UNIT-III SEQUENTIAL CIRCUITS NH 67, Karur Trichy Highways, Puliyur C.F, 639 114 Karur District DEPARTMENT OF ELETRONICS AND COMMUNICATION ENGINEERING COURSE NOTES SUBJECT: DIGITAL ELECTRONICS CLASS: II YEAR ECE SUBJECT CODE: EC2203

More information

CSE Latches and Flip-flops Dr. Izadi. NOR gate property: A B Z Cross coupled NOR gates: S M S R Q M

CSE Latches and Flip-flops Dr. Izadi. NOR gate property: A B Z Cross coupled NOR gates: S M S R Q M CSE-4523 Latches and Flip-flops Dr. Izadi NOR gate property: A B Z A B Z Cross coupled NOR gates: S M S R M R S M R S R S R M S S M R R S ' Gate R Gate S R S G R S R (t+) S G R Flip_flops:. S-R flip-flop

More information

CHAPTER1: Digital Logic Circuits

CHAPTER1: Digital Logic Circuits CS224: Computer Organization S.KHABET CHAPTER1: Digital Logic Circuits 1 Sequential Circuits Introduction Composed of a combinational circuit to which the memory elements are connected to form a feedback

More information

MC9211 Computer Organization

MC9211 Computer Organization MC9211 Computer Organization Unit 2 : Combinational and Sequential Circuits Lesson2 : Sequential Circuits (KSB) (MCA) (2009-12/ODD) (2009-10/1 A&B) Coverage Lesson2 Outlines the formal procedures for the

More information

EE292: Fundamentals of ECE

EE292: Fundamentals of ECE EE292: Fundamentals of ECE Fall 2012 TTh 10:00-11:15 SEB 1242 Lecture 23 121120 http://www.ee.unlv.edu/~b1morris/ee292/ 2 Outline Review Combinatorial Logic Sequential Logic 3 Combinatorial Logic Circuits

More information

Fall 2000 Chapter 5 Part 1

Fall 2000 Chapter 5 Part 1 ECE/CS 352 Digital Systems Fundamentals Fall 2000 Chapter 5 Part 1 Tom Kaminski & Charles R. Kime ECE/CS 352 Digital System Fundamentals T. Kaminski & C. Kime 1 Registers A register is a collection of

More information

Counters

Counters Counters A counter is the most versatile and useful subsystems in the digital system. A counter driven by a clock can be used to count the number of clock cycles. Since clock pulses occur at known intervals,

More information

Lecture 12. Amirali Baniasadi

Lecture 12. Amirali Baniasadi CENG 24 Digital Design Lecture 2 Amirali Baniasadi amirali@ece.uvic.ca This Lecture Chapter 6: Registers and Counters 2 Registers Sequential circuits are classified based in their function, e.g., registers.

More information

Modeling Digital Systems with Verilog

Modeling Digital Systems with Verilog Modeling Digital Systems with Verilog Prof. Chien-Nan Liu TEL: 03-4227151 ext:34534 Email: jimmy@ee.ncu.edu.tw 6-1 Composition of Digital Systems Most digital systems can be partitioned into two types

More information

Registers and Counters

Registers and Counters Registers and Counters ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall, 2017 ldvan@cs.nctu.edu.tw http://www.cs.nctu.edu.tw/~ldvan/ Registers Shift Registers

More information

1. a) For the circuit shown in figure 1.1, draw a truth table showing the output Q for all combinations of inputs A, B and C. [4] Figure 1.

1. a) For the circuit shown in figure 1.1, draw a truth table showing the output Q for all combinations of inputs A, B and C. [4] Figure 1. [Question 1 is compulsory] 1. a) For the circuit shown in figure 1.1, draw a truth table showing the output Q for all combinations of inputs A, B and C. Figure 1.1 b) Minimize the following Boolean functions:

More information

Registers and Counters

Registers and Counters Registers and Counters ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall, 2011 ldvan@cs.nctu.edu.tw http://www.cs.nctu.edu.tw/~ldvan/ Registers Shift Registers

More information

Computer Organization & Architecture Lecture #5

Computer Organization & Architecture Lecture #5 Computer Organization & Architecture Lecture #5 Shift Register A shift register is a register in which binary data can be stored and then shifted left or right when a shift signal is applied. Bits shifted

More information

IT T35 Digital system desigm y - ii /s - iii

IT T35 Digital system desigm y - ii /s - iii UNIT - III Sequential Logic I Sequential circuits: latches flip flops analysis of clocked sequential circuits state reduction and assignments Registers and Counters: Registers shift registers ripple counters

More information

UNIT 1: DIGITAL LOGICAL CIRCUITS What is Digital Computer? OR Explain the block diagram of digital computers.

UNIT 1: DIGITAL LOGICAL CIRCUITS What is Digital Computer? OR Explain the block diagram of digital computers. UNIT 1: DIGITAL LOGICAL CIRCUITS What is Digital Computer? OR Explain the block diagram of digital computers. Digital computer is a digital system that performs various computational tasks. The word DIGITAL

More information

The word digital implies information in computers is represented by variables that take a limited number of discrete values.

The word digital implies information in computers is represented by variables that take a limited number of discrete values. Class Overview Cover hardware operation of digital computers. First, consider the various digital components used in the organization and design. Second, go through the necessary steps to design a basic

More information

Counter dan Register

Counter dan Register Counter dan Register Introduction Circuits for counting events are frequently used in computers and other digital systems. Since a counter circuit must remember its past states, it has to possess memory.

More information

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath Objectives Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath In the previous chapters we have studied how to develop a specification from a given application, and

More information

BCN1043. By Dr. Mritha Ramalingam. Faculty of Computer Systems & Software Engineering

BCN1043. By Dr. Mritha Ramalingam. Faculty of Computer Systems & Software Engineering BCN1043 By Dr. Mritha Ramalingam Faculty of Computer Systems & Software Engineering mritha@ump.edu.my http://ocw.ump.edu.my/ authors Dr. Mohd Nizam Mohmad Kahar (mnizam@ump.edu.my) Jamaludin Sallim (jamal@ump.edu.my)

More information

Logic Design Viva Question Bank Compiled By Channveer Patil

Logic Design Viva Question Bank Compiled By Channveer Patil Logic Design Viva Question Bank Compiled By Channveer Patil Title of the Practical: Verify the truth table of logic gates AND, OR, NOT, NAND and NOR gates/ Design Basic Gates Using NAND/NOR gates. Q.1

More information

Minnesota State College Southeast

Minnesota State College Southeast ELEC 2211: Digital Electronics II A. COURSE DESCRIPTION Credits: 4 Lecture Hours/Week: 2 Lab Hours/Week: 4 OJT Hours/Week: *.* Prerequisites: None Corequisites: None MnTC Goals: None Minnesota State College

More information

CS/ECE 250: Computer Architecture. Basics of Logic Design: ALU, Storage, Tristate. Benjamin Lee

CS/ECE 250: Computer Architecture. Basics of Logic Design: ALU, Storage, Tristate. Benjamin Lee CS/ECE 25: Computer Architecture Basics of Logic esign: ALU, Storage, Tristate Benjamin Lee Slides based on those from Alvin Lebeck, aniel, Andrew Hilton, Amir Roth, Gershon Kedem Homework #3 ue Mar 7,

More information

Solution to Digital Logic )What is the magnitude comparator? Design a logic circuit for 4 bit magnitude comparator and explain it,

Solution to Digital Logic )What is the magnitude comparator? Design a logic circuit for 4 bit magnitude comparator and explain it, Solution to Digital Logic -2067 Solution to digital logic 2067 1.)What is the magnitude comparator? Design a logic circuit for 4 bit magnitude comparator and explain it, A Magnitude comparator is a combinational

More information

Microprocessor Design

Microprocessor Design Microprocessor Design Principles and Practices With VHDL Enoch O. Hwang Brooks / Cole 2004 To my wife and children Windy, Jonathan and Michelle Contents 1. Designing a Microprocessor... 2 1.1 Overview

More information

Flip Flop. S-R Flip Flop. Sequential Circuits. Block diagram. Prepared by:- Anwar Bari

Flip Flop. S-R Flip Flop. Sequential Circuits. Block diagram. Prepared by:- Anwar Bari Sequential Circuits The combinational circuit does not use any memory. Hence the previous state of input does not have any effect on the present state of the circuit. But sequential circuit has memory

More information

UNIVERSITI TEKNOLOGI MALAYSIA

UNIVERSITI TEKNOLOGI MALAYSIA SULIT Faculty of Computing UNIVERSITI TEKNOLOGI MALAYSIA FINAL EXAMINATION SEMESTER I, 2016 / 2017 SUBJECT CODE : SUBJECT NAME : SECTION : TIME : DATE/DAY : VENUES : INSTRUCTIONS : Answer all questions

More information

Final Exam review: chapter 4 and 5. Supplement 3 and 4

Final Exam review: chapter 4 and 5. Supplement 3 and 4 Final Exam review: chapter 4 and 5. Supplement 3 and 4 1. A new type of synchronous flip-flop has the following characteristic table. Find the corresponding excitation table with don t cares used as much

More information

Find the equivalent decimal value for the given value Other number system to decimal ( Sample)

Find the equivalent decimal value for the given value Other number system to decimal ( Sample) VELAMMAL COLLEGE OF ENGINEERING AND TECHNOLOGY, MADURAI 65 009 Department of Information Technology Model Exam-II-Question bank PART A (Answer for all Questions) (8 X = 6) K CO Marks Find the equivalent

More information

Experiment 8 Introduction to Latches and Flip-Flops and registers

Experiment 8 Introduction to Latches and Flip-Flops and registers Experiment 8 Introduction to Latches and Flip-Flops and registers Introduction: The logic circuits that have been used until now were combinational logic circuits since the output of the device depends

More information

Flip-Flops and Registers

Flip-Flops and Registers The slides included herein were taken from the materials accompanying Fundamentals of Logic Design, 6 th Edition, by Roth and Kinney, and were used with permission from Cengage Learning. Flip-Flops and

More information

Logic Devices for Interfacing, The 8085 MPU Lecture 4

Logic Devices for Interfacing, The 8085 MPU Lecture 4 Logic Devices for Interfacing, The 8085 MPU Lecture 4 1 Logic Devices for Interfacing Tri-State devices Buffer Bidirectional Buffer Decoder Encoder D Flip Flop :Latch and Clocked 2 Tri-state Logic Outputs

More information

R13 SET - 1 '' ''' '' ' '''' Code No: RT21053

R13 SET - 1 '' ''' '' ' '''' Code No: RT21053 SET - 1 1. a) What are the characteristics of 2 s complement numbers? b) State the purpose of reducing the switching functions to minimal form. c) Define half adder. d) What are the basic operations in

More information

ELCT201: DIGITAL LOGIC DESIGN

ELCT201: DIGITAL LOGIC DESIGN ELCT201: DIGITAL LOGIC DESIGN Dr. Eng. Haitham Omran, haitham.omran@guc.edu.eg Dr. Eng. Wassim Alexan, wassim.joseph@guc.edu.eg Lecture 6 Following the slides of Dr. Ahmed H. Madian ذو الحجة 1438 ه Winter

More information

Digital Logic Design ENEE x. Lecture 19

Digital Logic Design ENEE x. Lecture 19 Digital Logic Design ENEE 244-010x Lecture 19 Announcements Homework 8 due on Monday, 11/23. Agenda Last time: Timing Considerations (6.3) Master-Slave Flip-Flops (6.4) This time: Edge-Triggered Flip-Flops

More information

Chapter 6 Registers and Counters

Chapter 6 Registers and Counters EEA051 - Digital Logic 數位邏輯 Chapter 6 Registers and Counters 吳俊興國立高雄大學資訊工程學系 January 2006 Chapter 6 Registers and Counters 6-1 Registers 6-2 Shift Registers 6-3 Ripple Counters 6-4 Synchronous Counters

More information

ELE2120 Digital Circuits and Systems. Tutorial Note 8

ELE2120 Digital Circuits and Systems. Tutorial Note 8 ELE2120 Digital Circuits and Systems Tutorial Note 8 Outline 1. Register 2. Counters 3. Synchronous Counter 4. Asynchronous Counter 5. Sequential Circuit Design Overview 1. Register Applications: temporally

More information

Registers and Counters

Registers and Counters Registers and Counters A register is a group of flip-flops which share a common clock An n-bit register consists of a group of n flip-flops capable of storing n bits of binary information May have combinational

More information

Department of Electrical and Computer Engineering Mid-Term Examination Winter 2012

Department of Electrical and Computer Engineering Mid-Term Examination Winter 2012 1 McGill University Faculty of Engineering ECSE-221B Introduction to Computer Engineering Department of Electrical and Computer Engineering Mid-Term Examination Winter 2012 Examiner: Rola Harmouche Date:

More information

Vignana Bharathi Institute of Technology UNIT 4 DLD

Vignana Bharathi Institute of Technology UNIT 4 DLD DLD UNIT IV Synchronous Sequential Circuits, Latches, Flip-flops, analysis of clocked sequential circuits, Registers, Shift registers, Ripple counters, Synchronous counters, other counters. Asynchronous

More information

Asynchronous (Ripple) Counters

Asynchronous (Ripple) Counters Circuits for counting events are frequently used in computers and other digital systems. Since a counter circuit must remember its past states, it has to possess memory. The chapter about flip-flops introduced

More information

Sequential Digital Design. Laboratory Manual. Experiment #7. Counters

Sequential Digital Design. Laboratory Manual. Experiment #7. Counters The Islamic University of Gaza Engineering Faculty Department of Computer Engineering Spring 2018 ECOM 2022 Khaleel I. Shaheen Sequential Digital Design Laboratory Manual Experiment #7 Counters Objectives

More information

R13. II B. Tech I Semester Regular Examinations, Jan DIGITAL LOGIC DESIGN (Com. to CSE, IT) PART-A

R13. II B. Tech I Semester Regular Examinations, Jan DIGITAL LOGIC DESIGN (Com. to CSE, IT) PART-A SET - 1 Note: Question Paper consists of two parts (Part-A and Part-B) Answer ALL the question in Part-A Answer any THREE Questions from Part-B a) What are the characteristics of 2 s complement numbers?

More information

Other Flip-Flops. Lecture 27 1

Other Flip-Flops. Lecture 27 1 Other Flip-Flops Other types of flip-flops can be constructed by using the D flip-flop and external logic. Two flip-flops less widely used in the design of digital systems are the JK and T flip-flops.

More information

Registers & Counters. Logic and Digital System Design - CS 303 Erkay Savaş Sabanci University

Registers & Counters. Logic and Digital System Design - CS 303 Erkay Savaş Sabanci University Registers & ounters Logic and igital System esign - S 33 Erkay Savaş Sabanci University Registers Registers like counters are clocked sequential circuits A register is a group of flip-flops Each flip-flop

More information

MODU LE DAY. Class-A, B, AB and C amplifiers - basic concepts, power, efficiency Basic concepts of Feedback and Oscillation. Day 1

MODU LE DAY. Class-A, B, AB and C amplifiers - basic concepts, power, efficiency Basic concepts of Feedback and Oscillation. Day 1 DAY MODU LE TOPIC QUESTIONS Day 1 Day 2 Day 3 Day 4 I Class-A, B, AB and C amplifiers - basic concepts, power, efficiency Basic concepts of Feedback and Oscillation Phase Shift Wein Bridge oscillators.

More information

TIME SCHEDULE. MODULE TOPICS PERIODS 1 Number system & Boolean algebra 17 Test I 1 2 Logic families &Combinational logic

TIME SCHEDULE. MODULE TOPICS PERIODS 1 Number system & Boolean algebra 17 Test I 1 2 Logic families &Combinational logic COURSE TITLE : DIGITAL INSTRUMENTS PRINCIPLE COURSE CODE : 3075 COURSE CATEGORY : B PERIODS/WEEK : 4 PERIODS/SEMESTER : 72 CREDITS : 4 TIME SCHEDULE MODULE TOPICS PERIODS 1 Number system & Boolean algebra

More information

Tribhuvan University Institute of Science and Technology Bachelor of Science in Computer Science and Information Technology

Tribhuvan University Institute of Science and Technology Bachelor of Science in Computer Science and Information Technology Tribhuvan University Institute of Science and Technology Bachelor of Science in Computer Science and Information Technology Course Title: Digital Logic Full Marks: 60 + 0 + 0 Course No.: CSC Pass Marks:

More information

Introduction. Serial In - Serial Out Shift Registers (SISO)

Introduction. Serial In - Serial Out Shift Registers (SISO) Introduction Shift registers are a type of sequential logic circuit, mainly for storage of digital data. They are a group of flip-flops connected in a chain so that the output from one flip-flop becomes

More information

Chapter 6. Flip-Flops and Simple Flip-Flop Applications

Chapter 6. Flip-Flops and Simple Flip-Flop Applications Chapter 6 Flip-Flops and Simple Flip-Flop Applications Basic bistable element It is a circuit having two stable conditions (states). It can be used to store binary symbols. J. C. Huang, 2004 Digital Logic

More information

St. MARTIN S ENGINEERING COLLEGE

St. MARTIN S ENGINEERING COLLEGE St. MARTIN S ENGINEERING COLLEGE Dhulapally, Kompally, Secunderabad-500014. Branch Year&Sem Subject Name : Electronics and Communication Engineering : II B. Tech I Semester : SWITCHING THEORY AND LOGIC

More information

WINTER 15 EXAMINATION Model Answer

WINTER 15 EXAMINATION Model Answer Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme. 2) The model answer and the answer written by candidate

More information

MUHAMMAD NAEEM LATIF MCS 3 RD SEMESTER KHANEWAL

MUHAMMAD NAEEM LATIF MCS 3 RD SEMESTER KHANEWAL 1. A stage in a shift register consists of (a) a latch (b) a flip-flop (c) a byte of storage (d) from bits of storage 2. To serially shift a byte of data into a shift register, there must be (a) one click

More information

Part 4: Introduction to Sequential Logic. Basic Sequential structure. Positive-edge-triggered D flip-flop. Flip-flops classified by inputs

Part 4: Introduction to Sequential Logic. Basic Sequential structure. Positive-edge-triggered D flip-flop. Flip-flops classified by inputs Part 4: Introduction to Sequential Logic Basic Sequential structure There are two kinds of components in a sequential circuit: () combinational blocks (2) storage elements Combinational blocks provide

More information

UNIT III. Combinational Circuit- Block Diagram. Sequential Circuit- Block Diagram

UNIT III. Combinational Circuit- Block Diagram. Sequential Circuit- Block Diagram UNIT III INTRODUCTION In combinational logic circuits, the outputs at any instant of time depend only on the input signals present at that time. For a change in input, the output occurs immediately. Combinational

More information

DIGITAL CIRCUIT LOGIC UNIT 9: MULTIPLEXERS, DECODERS, AND PROGRAMMABLE LOGIC DEVICES

DIGITAL CIRCUIT LOGIC UNIT 9: MULTIPLEXERS, DECODERS, AND PROGRAMMABLE LOGIC DEVICES DIGITAL CIRCUIT LOGIC UNIT 9: MULTIPLEXERS, DECODERS, AND PROGRAMMABLE LOGIC DEVICES 1 Learning Objectives 1. Explain the function of a multiplexer. Implement a multiplexer using gates. 2. Explain the

More information

Serial In/Serial Left/Serial Out Operation

Serial In/Serial Left/Serial Out Operation Shift Registers The need to storage binary data was discussed earlier. In digital circuits multi-bit data has to be stored temporarily until it is processed. A flip-flop is able to store a single binary

More information

PURBANCHAL UNIVERSITY

PURBANCHAL UNIVERSITY [c] Implement a full adder circuit with a decoder and two OR gates. [4] III SEMESTER FINAL EXAMINATION-2006 Q. [4] [a] What is flip flop? Explain flip flop operating characteristics. [6] [b] Design and

More information

COE 202: Digital Logic Design Sequential Circuits Part 1. Dr. Ahmad Almulhem ahmadsm AT kfupm Phone: Office:

COE 202: Digital Logic Design Sequential Circuits Part 1. Dr. Ahmad Almulhem   ahmadsm AT kfupm Phone: Office: COE 202: Digital Logic Design Sequential Circuits Part 1 Dr. Ahmad Almulhem Email: ahmadsm AT kfupm Phone: 860-7554 Office: 22-324 Objectives Sequential Circuits Memory Elements Latches Flip-Flops Combinational

More information

DIGITAL ELECTRONICS MCQs

DIGITAL ELECTRONICS MCQs DIGITAL ELECTRONICS MCQs 1. A 8-bit serial in / parallel out shift register contains the value 8, clock signal(s) will be required to shift the value completely out of the register. A. 1 B. 2 C. 4 D. 8

More information

ELCT201: DIGITAL LOGIC DESIGN

ELCT201: DIGITAL LOGIC DESIGN ELCT201: DIGITAL LOGIC DESIGN Dr. Eng. Haitham Omran, haitham.omran@guc.edu.eg Dr. Eng. Wassim Alexan, wassim.joseph@guc.edu.eg Lecture 7 Following the slides of Dr. Ahmed H. Madian محرم 1439 ه Winter

More information

Scanned by CamScanner

Scanned by CamScanner NAVEEN RAJA VELCHURI DSD & Digital IC Applications Example: 2-bit asynchronous up counter: The 2-bit Asynchronous counter requires two flip-flops. Both flip-flop inputs are connected to logic 1, and initially

More information

DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING

DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING DRONACHARYA GROUP OF INSTITUTIONS, GREATER NOIDA Affiliated to Mahamaya Technical University, Noida Approved by AICTE DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING Lab Manual for Computer Organization Lab

More information

Chapter 6 Digital Circuit 6-5 Department of Mechanical Engineering

Chapter 6 Digital Circuit 6-5 Department of Mechanical Engineering MEMS1082 Chapter 6 Digital Circuit 6-5 General digital system D Flip-Flops, The D flip-flop is a modification of the clocked SR flip-flop. The D input goes directly into the S input and the complement

More information

Contents Circuits... 1

Contents Circuits... 1 Contents Circuits... 1 Categories of Circuits... 1 Description of the operations of circuits... 2 Classification of Combinational Logic... 2 1. Adder... 3 2. Decoder:... 3 Memory Address Decoder... 5 Encoder...

More information

Long and Fast Up/Down Counters Pushpinder Kaur CHOUHAN 6 th Jan, 2003

Long and Fast Up/Down Counters Pushpinder Kaur CHOUHAN 6 th Jan, 2003 1 Introduction Long and Fast Up/Down Counters Pushpinder Kaur CHOUHAN 6 th Jan, 2003 Circuits for counting both forward and backward events are frequently used in computers and other digital systems. Digital

More information

Module -5 Sequential Logic Design

Module -5 Sequential Logic Design Module -5 Sequential Logic Design 5.1. Motivation: In digital circuit theory, sequential logic is a type of logic circuit whose output depends not only on the present value of its input signals but on

More information

6.3 Sequential Circuits (plus a few Combinational)

6.3 Sequential Circuits (plus a few Combinational) 6.3 Sequential Circuits (plus a few Combinational) Logic Gates: Fundamental Building Blocks Introduction to Computer Science Robert Sedgewick and Kevin Wayne Copyright 2005 http://www.cs.princeton.edu/introcs

More information

DIGITAL SYSTEM FUNDAMENTALS (ECE421) DIGITAL ELECTRONICS FUNDAMENTAL (ECE422) LATCHES and FLIP-FLOPS

DIGITAL SYSTEM FUNDAMENTALS (ECE421) DIGITAL ELECTRONICS FUNDAMENTAL (ECE422) LATCHES and FLIP-FLOPS COURSE / CODE DIGITAL SYSTEM FUNDAMENTALS (ECE421) DIGITAL ELECTRONICS FUNDAMENTAL (ECE422) LATCHES and FLIP-FLOPS In the same way that logic gates are the building blocks of combinatorial circuits, latches

More information

University of Pennsylvania Department of Electrical and Systems Engineering. Digital Design Laboratory. Lab8 Calculator

University of Pennsylvania Department of Electrical and Systems Engineering. Digital Design Laboratory. Lab8 Calculator University of Pennsylvania Department of Electrical and Systems Engineering Digital Design Laboratory Purpose Lab Calculator The purpose of this lab is: 1. To get familiar with the use of shift registers

More information

Sequencing and Control

Sequencing and Control Sequencing and Control Lan-Da Van ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Spring, 2016 ldvan@cs.nctu.edu.tw http://www.cs.nctu.edu.tw/~ldvan/ Source:

More information

Lecture 8: Sequential Logic

Lecture 8: Sequential Logic Lecture 8: Sequential Logic Last lecture discussed how we can use digital electronics to do combinatorial logic we designed circuits that gave an immediate output when presented with a given set of inputs

More information

Multiplexor (aka MUX) An example, yet VERY useful circuit!

Multiplexor (aka MUX) An example, yet VERY useful circuit! Multiplexor (aka MUX) An example, yet VERY useful circuit! A B 0 1 Y S A B Y 0 0 x 0 0 1 x 1 1 x 0 0 1 x 1 1 S=1 S=0 Y = (S)? B:A; Y=S A+SB when S = 0: output A 1: output B 56 A 32-bit MUX Use 32 1-bit

More information

Switching Theory And Logic Design UNIT-IV SEQUENTIAL LOGIC CIRCUITS

Switching Theory And Logic Design UNIT-IV SEQUENTIAL LOGIC CIRCUITS Switching Theory And Logic Design UNIT-IV SEQUENTIAL LOGIC CIRCUITS Sequential circuits Classification of sequential circuits: Sequential circuits may be classified as two types. 1. Synchronous sequential

More information

For Teacher's Use Only Q Total No. Marks. Q No Q No Q No

For Teacher's Use Only Q Total No. Marks. Q No Q No Q No FINALTERM EXAMINATION Spring 2010 CS302- Digital Logic Design (Session - 4) Time: 90 min Marks: 58 For Teacher's Use Only Q 1 2 3 4 5 6 7 8 Total No. Marks Q No. 9 10 11 12 13 14 15 16 Marks Q No. 17 18

More information

The basic logic gates are the inverter (or NOT gate), the AND gate, the OR gate and the exclusive-or gate (XOR). If you put an inverter in front of

The basic logic gates are the inverter (or NOT gate), the AND gate, the OR gate and the exclusive-or gate (XOR). If you put an inverter in front of 1 The basic logic gates are the inverter (or NOT gate), the AND gate, the OR gate and the exclusive-or gate (XOR). If you put an inverter in front of the AND gate, you get the NAND gate etc. 2 One of the

More information

Sequential logic circuits

Sequential logic circuits Computer Mathematics Week 10 Sequential logic circuits College of Information Science and Engineering Ritsumeikan University last week combinational digital circuits signals and busses logic gates and,

More information

DIGITAL SYSTEM DESIGN UNIT I (2 MARKS)

DIGITAL SYSTEM DESIGN UNIT I (2 MARKS) DIGITAL SYSTEM DESIGN UNIT I (2 MARKS) 1. Convert Binary number (111101100) 2 to Octal equivalent. 2. Convert Binary (1101100010011011) 2 to Hexadecimal equivalent. 3. Simplify the following Boolean function

More information

Synchronous sequential circuits

Synchronous sequential circuits 8.6.5 Synchronous sequential Table of content. Combinational circuit design. Elementary combinatorial for data transmission. Memory structures 4. Programmable logic devices 5. Algorithmic minimization

More information

CS302 Digital Logic Design Solved Objective Midterm Papers For Preparation of Midterm Exam

CS302 Digital Logic Design Solved Objective Midterm Papers For Preparation of Midterm Exam CS302 Digital Logic Design Solved Objective Midterm Papers For Preparation of Midterm Exam MIDTERM EXAMINATION Spring 2012 Question No: 1 ( Marks: 1 ) - Please choose one A SOP expression is equal to 1

More information

CprE 281: Digital Logic

CprE 281: Digital Logic CprE 28: Digital Logic Instructor: Alexander Stoytchev http://www.ece.iastate.edu/~alexs/classes/ Registers and Counters CprE 28: Digital Logic Iowa State University, Ames, IA Copyright Alexander Stoytchev

More information

Flip-Flops and Sequential Circuit Design

Flip-Flops and Sequential Circuit Design Flip-Flops and Sequential Circuit Design ECE 52 Summer 29 Reading ssignment Brown and Vranesic 7 Flip-Flops, Registers, Counters and a Simple Processor 7.5 T Flip-Flop 7.5. Configurable Flip-Flops 7.6

More information

MODEL QUESTIONS WITH ANSWERS THIRD SEMESTER B.TECH DEGREE EXAMINATION DECEMBER CS 203: Switching Theory and Logic Design. Time: 3 Hrs Marks: 100

MODEL QUESTIONS WITH ANSWERS THIRD SEMESTER B.TECH DEGREE EXAMINATION DECEMBER CS 203: Switching Theory and Logic Design. Time: 3 Hrs Marks: 100 MODEL QUESTIONS WITH ANSWERS THIRD SEMESTER B.TECH DEGREE EXAMINATION DECEMBER 2016 CS 203: Switching Theory and Logic Design Time: 3 Hrs Marks: 100 PART A ( Answer All Questions Each carries 3 Marks )

More information

VTU NOTES QUESTION PAPERS NEWS RESULTS FORUMS Registers

VTU NOTES QUESTION PAPERS NEWS RESULTS FORUMS Registers Registers Registers are a very important digital building block. A data register is used to store binary information appearing at the output of an encoding matrix.shift registers are a type of sequential

More information