Nanometer Technology Designs High-Quality Delay Tests

Size: px
Start display at page:

Download "Nanometer Technology Designs High-Quality Delay Tests"

Transcription

1 Nanometer Technology Designs High-Quality Delay Tests

2 Mohammad Tehranipoor Nisar Ahmed Nanometer Technology Designs High-Quality Delay Tests

3 Mohammad Tehranipoor University of Connecticut Electrical and Computer Engineering Storrs, CT USA Nisar Ahmed Texas Instruments Austin, TX USA Library of Congress Control Number: ISBN e-isbn Printed on acid-free paper Springer Science+Business Media, LLC All rights reserved. This work may not be translated or copied in whole or in part without the written permission of the publisher (Springer Science+Business Media, LLC, 233 Spring Street, New York, NY 10013, USA), except for brief excerpts in connection with reviews or scholarly analysis. Use in connection with any form of information storage and retrieval, electronic adaptation, computer software, or by similar or dissimilar methodology now know or hereafter developed is forbidden. The use in this publication of trade names, trademarks, service marks and similar terms, even if they are not identified as such, is not to be taken as an expression of opinion as to whether or not they are subject to proprietary rights springer.com

4 To Maryam, Bahar and Parsa: Thanks for your inspiration and support MT To my advisor, my parents and my wife: Many thanks for all the encouragement and support NA

5 Acknowledgement We would like to thank Ken Butler, Vinay Jayaram and C.P. Ravikumar of Texas Instruments for their contribution in Chapters 3, 4, 7, and 8. Also, thanks to Mehrdad Nourani from the University of Texas at Dallas for his contribution in Chapters 10 and 12. Thanks to Jim Plusquellic of University of Maryland Baltimore and Abhishek Singh of Nvidia for their contribution in Chapter 1. Also, special thanks to Semiconductor Research Corporation (SRC), William Joyner and David Yeh for supporting our projects. Thanks to Jeremy Lee for his contribution in Chapters 6 and 11. Finally, we would like to thank Alex Greene of Springer for making this book possible. Mohammad Tehranipoor Nisar Ahmed

6 Preface Modern electronic devices have become an inseparable part of the human life. To meet the market demand, next generation of technology appears with an ever increasing speed and performance driving manufacturing process to its limit. The demand for low power consumption in battery operated devices, higher frequency and higher functional density has introduced new challenges to design and test engineers. Reducing power supply will lower the total power consumption but increases the circuit sensitivity to noise since the voltage threshold is not scaling proportionally. Higher frequency and functional density will increase the power consumption producing more heat in the design and result in larger power supply noise. Integration of several cores for higher performance and throughput leads to longer interconnects thereby increasing coupling capacitance. As a result, performance verification has become one of the most challenging tasks for nanometer technology designs. Delay test has gained popularity in industry over the past several years as a reliable method for post-silicon performance verification. Industry began using functional patterns first, but as the design size became larger, high cost of generation as such patterns usually are generated manually, and low fault coverage forced functional at-speed test as a supplement to structural test in many semiconductor companies design-for-test (DFT) flow. Instead, scanbased delay fault test methods gained attention primarily due to the very high fault coverage and their simple procedure to generate patterns. Scan-based path delay fault test and transition delay fault test, together, can provide a high quality test. However, there are new challenges surfacing in nanometer technologies mainly due to the difference in the operating conditions during test mode and normal mode. For instance, power during test mode is 2-3X higher than normal mode resulting in higher power supply noise which in turn impacts circuit performance. Other important issues include implemention of scan-based methods using low-cost testers, improving fault coverage and reducing pattern count. Increasing population of small delay defects also need to be considered as they present quality and reliability issues.

7 X Preface This book contains twelve chapters that address these issues and present novel DFT architectures and pattern generation solutions to the aforementioned problems. In each chapter, we briefly describe the current state of knowledge and shortcomings and then present our method. Chapters 1 and 2 provide introduction to very large scale integration (VLSI) testing and a brief survey on future at-speed test challenges, respectively. The next four chapters, i.e. Chapters 3, 4, 5, and 6, present design-for-test methods to improve the quality of current delay test pattern generation and application methods. Chapters 7 and 8 deal with screening small delay defects, which is an important issue in nanometer technology designs. Chapters 9 and 10 address power supply noise issues during test mode. The last two chapters, i.e. Chapters 11 and 12, deal with pattern generation for crosstalk and signal integrity at the chip and system-chip level. In the following, these chapters will be introduced in more details. Chapter 1 provides a brief introduction to VLSI testing. It covers various topics such as structural test, functional test, voltage and current based testing methods, fault models, stuck-at fault model, delay fault model, system-on-achip (SOC) and their testing, low-cost testers, etc. The nanotechnology issues are addressed in Chapter 2 which in particular focuses on performance verification and delay testing. It discusses issues such as using low-cost testers for at-speed testing, improving quality of tests by increasing fault coverage and reducing pattern count, dealing with process and environmental variations, generation of supply noise tolerant test patterns, dealing with crosstalk issues, and developing timing aware automatic test pattern generators (ATPGs). Each of the remaining chapters focuses on the individual problems, provides in-depth analysis and practical solutions. Chapter 3 presents an interesting solution to the problem of implementing launch-off-shift (LOS) method using low-cost testers. The method generates a local at-speed scan enable signal using a cell called last transition generator. The cell can be inserted anywhere in a scan chain and can be tested using flush (aka chain test) patterns. The experimental results show that this technique can also reduce the overall scan enable routing area. Traditionally, LOC method offers lower fault coverage and higher pattern count when compared to LOS. A new solution referred to as enhanced LOC is presented in Chapter 4, to improve the quality of test patterns generated using launch-off-capture (LOC). The technique controls the scan chains to operate either in function mode or shift mode. This provides higher controllability and results in higher fault coverage and lower pattern count. A hybrid method to further increase the transition fault coverage is shown in Chapter 5. The method intelligently selects a small subset of scan chains to be controlled by LOS and the rest are controlled by LOC. This significantly increases the fault coverage (even higher than LOS) and reduces the pattern count. The scan enable design effort will also be significantly reduced since only a small subset of scan chains will be timing closed. Identification and avoidance of functionally untestable faults are discussed in Chapter 6 and a

8 Preface XI novel method to avoid such faults is presented. The basic idea is to modify the netlist only for testing purpose by inserting some constraints to avoid functionally invalid states that may occur during test pattern generation and random don t-care filling. Chapter 7 presents a timing-aware ATPG method using current commercial timing un-aware ATPGs to detect small delay defects on long paths. Small delay defects pose both quality and reliability challenges to nanometer technology designs. Chapter 8 illustrates a novel faster-than-at-speed test to detect small delay defects on short and intermediate paths. The method not only considers the positive slack of targeted paths but also the performance degradation caused by IR-drop to determine the higher than normal frequency. It ensures that chip failures during test do not occur due to high IR-drop, i.e. reduces yield loss. Chapter 9 addresses the issue of high power supply noise during the fast launch-to-capture cycle in delay test. It presents a new power model that can be used during both test pattern generation and validation. The method can be easily adopted in current ATPG and compression flow. It identifies high-supply-noise patterns, excludes them from the pattern set and replaces them with new set of low-supply-noise patterns. Chapter 10 presents a pattern generation method to maximize power supply noise. Such patterns can assist in diagnosis and failure analysis. Chapter 11 addresses the issue of escape in nanotechnology designs. In this chapter, a method is presented to maximize stress around critical paths by maximizing the crosstalk effects. The method intelligently identifies the location of nearby paths and the transition direction on each net of critical path and nearby paths. Finally, Chapter 12 presents a fault model for signal integrity and proposes a method to test signal integrity loss on SOC interconnects. It modifies the boundary scan architecture so that the test patterns can be generated on chip. Maximum aggressor and multiple transition fault models are used for pattern generation. Although an attempt has been made to present the context and provide a brief introduction for each topic to an audience with little experience in IC design-for-test, however an experienced reader would have little trouble grasping the abstractions. We sincerely hope you enjoy reading this book. July 2007 Mohammad Tehranipoor Nisar Ahmed

9 Contents 1 Introduction IntroductiontoVLSITesting Defects FaultModels TypesofDefects TypesofTesting ClassificationBasedofParadigmofTesting Classification Based on Measurement Parameters Voltage-BasedTesting Current-BasedTesting System-on-Chip(SoC) SoCTesting SoCTestisExpensive SoCTester:AnExample Design For Testability (DFT) DFTTechniques Built-InSelf-Test(BIST) ScanorFullScan BoundaryScan(BS) DelayFaultTesting Path-DelayFaults TransitionDelayFaults References At-speed Test Challenges for Nanometer Technology Designs TechnologyScalingEffects CrosstalkEffects PowerSupplyNoiseEffects ProcessVariationsEffects ThermalEffects... 36

10 XIV Contents StatisticalAnalysis HighQualityTestPatterns SmallDelayDefects Using Low-Cost Testers to Reduce Capital Test Cost Local At-Speed Scan Enable Generation At-SpeedI/OTesting References Local At-Speed Scan Enable Generation Using Low-Cost Testers Introduction ABigPictureofLow-costTesters BackgroundandMotivation LocalScanEnableSignalGeneration LastTransitionGenerator(LTG) OperationofLTGCell DFTArchitecture MultipleClockDomainAnalysis LTGInsertionFlow ATPG ExperimentalResults Summary References Enhanced Launch-Off-Capture Introduction OverviewofEnhancedLOCMethod EnhancedLaunch-off-Capture Local Scan Enable Signal (LSEN) Generation LocalScanEnableGenerator(LSEG) OperationofLSEGCell ScanInsertionandATPGFlow TestArchitecture TestSynthesisandATPG CaseStudy AnalysisofELOCDetectedAdditionalFaults ExperimentalResults Summary References HybridScan-BasedTransitionDelayTest Introduction OverviewoftheHybridMethod Motivation Local Scan Enable Signal (LSEN) Generation

11 Contents XV Local Scan Enable Generator (LSEG) Cells SlowScanEnableGenerator(SSEG) FastScanEnableGenerator(FSEG) OperationofLSEGcells Flip-Flop Selection: ATPG-Based Controllability/Observability Measurement CASE Study: DFT Insertion, ATPG Flow and Fault Analysis TestArchitecture CaseStudy DFT Insertion Based on Controllability/Observability Measure ATPG AnalysisofExtraDetectedFaults ExperimentalResults Summary References Avoiding Functionally Untestable Faults Introduction OverviewoftheFramework FunctionallyUntestableFaultIdentification Constraint Generation, Minimization, and Realization ConstraintGeneration ConstraintMinimization ConstraintRealization FrameworkImplementation Analysis Summary References Screening Small Delay Defects Introduction Overview of the Proposed Timing-based Pattern GenerationProcedure PathLengthandPatternDelayAnalysis EndpointDefinition PatternGeneration PatternSelection ExperimentalResults Pre-processingPhase PatternGenerationandSelectionPhase Summary References...154

12 XVI Contents 8 Faster-Than-At-Speed Test Considering IR-drop Effects Introduction Overview of the Faster-Than-At-Speed Test Technique CaseStudy:DesignImplementation TestPatternDelayAnalysis Dynamic IR-drop Analysis at Functional Speed Dynamic IR-drop Analysis at Faster-than-at-speed Test PatternGenerationFramework PatternGrouping Estimation of Performance Degradation ExperimentalResults Summary References IR-drop Tolerant At-speed Test Pattern Generation Introduction Overview of the IR-drop Tolerant Pattern Generation Method CaseStudy1:ITC 99Benchmarkb PhysicalDesignImplementation StatisticalIR-dropAnalysis DynamicIR-dropAnalysis AveragePowerModel PatternGenerationFramework ExperimentalResults Case Study 2: Cadence SOC Design Turbo-Eagle Test Strategy using Statistical IR-drop Analysis Switching Cycle Average Power (SCAP) Model Fault List Manipulation and Pattern Generation ExperimentalResults Summary References Pattern Generation for Power Supply Noise Analysis Introduction OverviewoftheMethod Power Supply Noise (PSN) Model PatternGeneration TimingofSwitchingEvents PreprocessingPhase Algorithm Pseudocode Example ExperimentalResults Summary...220

13 Contents XVII References Delay Fault Testing in Presence of Maximum Crosstalk TechnologyScalingEffectonCrosstalk OverviewoftheMethod Preliminary Analysis: Proximity and Transition Direction Victim/AggressorProximity Victim/AggressorTransitionDirection Inducing Coupling Effects on Critical Paths PathSegmentationandCoupling Inducing Coupling Effects Pattern Generation Flow with Neighboring Crosstalk Sensitization ParasiticExtraction Critical Path Identification and Segmentation TestPatternGeneration ExperimentalResultsandAnalysis Summary References Testing SoC Interconnects for Signal Integrity Introduction Technology Scaling Effects on Signal Integrity Overview Overview Testing Interconnects Using Multiple Transition (MT) Fault Model Enhanced Boundary Scan Cells TestArchitecture Implementation and Simulation Results TestingInterconnectsUsingMAModel TestDataCompression EX-SITESTInstructionandTestProcess Results Summary References Index...277

for Digital IC's Design-for-Test and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ

for Digital IC's Design-for-Test and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ Design-for-Test for Digital IC's and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ 07458 www.phptr.com ISBN D-13-DflMfla7-l : Ml H Contents Preface Acknowledgments Introduction

More information

A Novel Framework for Faster-than-at-Speed Delay Test Considering IR-drop Effects

A Novel Framework for Faster-than-at-Speed Delay Test Considering IR-drop Effects A Novel Framework for Faster-than-at-Speed Delay Test Considering IR-drop Effects Abstract Nisar Ahmed, Mohammad Tehranipoor Dept. of Electrical & Computer Engineering University of Connecticut tehrani@engr.uconn.edu

More information

Transition Delay Fault Test Pattern Generation Considering Supply Voltage Noise in a SOC Design

Transition Delay Fault Test Pattern Generation Considering Supply Voltage Noise in a SOC Design 30.3 Transition Delay Fault Test Pattern Generation Considering Supply Voltage Noise in a SOC Design Nisar Ahmed, Mohammad Tehranipoor Dept. of Electrical & Computer Engineering University of Connecticut

More information

Overview: Logic BIST

Overview: Logic BIST VLSI Design Verification and Testing Built-In Self-Test (BIST) - 2 Mohammad Tehranipoor Electrical and Computer Engineering University of Connecticut 23 April 2007 1 Overview: Logic BIST Motivation Built-in

More information

Scan. This is a sample of the first 15 pages of the Scan chapter.

Scan. This is a sample of the first 15 pages of the Scan chapter. Scan This is a sample of the first 15 pages of the Scan chapter. Note: The book is NOT Pinted in color. Objectives: This section provides: An overview of Scan An introduction to Test Sequences and Test

More information

Analysis of Power Consumption and Transition Fault Coverage for LOS and LOC Testing Schemes

Analysis of Power Consumption and Transition Fault Coverage for LOS and LOC Testing Schemes Analysis of Power Consumption and Transition Fault Coverage for LOS and LOC Testing Schemes F. Wu 1 L. Dilillo 1 A. Bosio 1 P. Girard 1 S. Pravossoudovitch 1 A. Virazel 1 1 Dept. of Microelectronic 1 LIRMM,

More information

Design for Testability

Design for Testability TDTS 01 Lecture 9 Design for Testability Zebo Peng Embedded Systems Laboratory IDA, Linköping University Lecture 9 The test problems Fault modeling Design for testability techniques Zebo Peng, IDA, LiTH

More information

Based on slides/material by. Topic 14. Testing. Testing. Logic Verification. Recommended Reading:

Based on slides/material by. Topic 14. Testing. Testing. Logic Verification. Recommended Reading: Based on slides/material by Topic 4 Testing Peter Y. K. Cheung Department of Electrical & Electronic Engineering Imperial College London!! K. Masselos http://cas.ee.ic.ac.uk/~kostas!! J. Rabaey http://bwrc.eecs.berkeley.edu/classes/icbook/instructors.html

More information

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September-2014 917 The Power Optimization of Linear Feedback Shift Register Using Fault Coverage Circuits K.YARRAYYA1, K CHITAMBARA

More information

Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test

Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test Mark McDermott Electrical and Computer Engineering The University of Texas at Austin Agenda Introduction to testing Logical

More information

A Novel Low Power pattern Generation Technique for Concurrent Bist Architecture

A Novel Low Power pattern Generation Technique for Concurrent Bist Architecture A Novel Low Power pattern Generation Technique for Concurrent Bist Architecture Y. Balasubrahamanyam, G. Leenendra Chowdary, T.J.V.S.Subrahmanyam Research Scholar, Dept. of ECE, Sasi institute of Technology

More information

At-speed testing made easy

At-speed testing made easy At-speed testing made easy By Bruce Swanson and Michelle Lange, EEdesign.com Jun 03, 2004 (5:00 PM EDT) URL: http://www.eedesign.com/article/showarticle.jhtml?articleid=21401421 Today's chip designs are

More information

UNIT IV CMOS TESTING. EC2354_Unit IV 1

UNIT IV CMOS TESTING. EC2354_Unit IV 1 UNIT IV CMOS TESTING EC2354_Unit IV 1 Outline Testing Logic Verification Silicon Debug Manufacturing Test Fault Models Observability and Controllability Design for Test Scan BIST Boundary Scan EC2354_Unit

More information

Design of Fault Coverage Test Pattern Generator Using LFSR

Design of Fault Coverage Test Pattern Generator Using LFSR Design of Fault Coverage Test Pattern Generator Using LFSR B.Saritha M.Tech Student, Department of ECE, Dhruva Institue of Engineering & Technology. Abstract: A new fault coverage test pattern generator

More information

Controlling Peak Power During Scan Testing

Controlling Peak Power During Scan Testing Controlling Peak Power During Scan Testing Ranganathan Sankaralingam and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering University of Texas, Austin,

More information

Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory. National Central University

Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory. National Central University Chapter 3 Basics of VLSI Testing (2) Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory Department of Electrical Engineering National Central University Jhongli, Taiwan Outline Testing Process Fault

More information

Cell-Aware Fault Analysis and Test Set Optimization in Digital Integrated Circuits

Cell-Aware Fault Analysis and Test Set Optimization in Digital Integrated Circuits Southern Methodist University SMU Scholar Computer Science and Engineering Theses and Dissertations Computer Science and Engineering Spring 5-19-2018 Cell-Aware Fault Analysis and Test Set Optimization

More information

Enhanced JTAG to test interconnects in a SoC

Enhanced JTAG to test interconnects in a SoC Enhanced JTAG to test interconnects in a SoC by Dany Lebel and Sorin Alin Herta 1 Enhanced JTAG to test interconnects in a SoC Dany Lebel (1271766) and Sorin Alin Herta (1317418) ELE-6306, Test de systèmes

More information

nmos transistor Basics of VLSI Design and Test Solution: CMOS pmos transistor CMOS Inverter First-Order DC Analysis CMOS Inverter: Transient Response

nmos transistor Basics of VLSI Design and Test Solution: CMOS pmos transistor CMOS Inverter First-Order DC Analysis CMOS Inverter: Transient Response nmos transistor asics of VLSI Design and Test If the gate is high, the switch is on If the gate is low, the switch is off Mohammad Tehranipoor Drain ECE495/695: Introduction to Hardware Security & Trust

More information

A New Approach to Design Fault Coverage Circuit with Efficient Hardware Utilization for Testing Applications

A New Approach to Design Fault Coverage Circuit with Efficient Hardware Utilization for Testing Applications A New Approach to Design Fault Coverage Circuit with Efficient Hardware Utilization for Testing Applications S. Krishna Chaitanya Department of Electronics & Communication Engineering, Hyderabad Institute

More information

TKK S ASIC-PIIRIEN SUUNNITTELU

TKK S ASIC-PIIRIEN SUUNNITTELU Design TKK S-88.134 ASIC-PIIRIEN SUUNNITTELU Design Flow 3.2.2005 RTL Design 10.2.2005 Implementation 7.4.2005 Contents 1. Terminology 2. RTL to Parts flow 3. Logic synthesis 4. Static Timing Analysis

More information

Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA

Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA M.V.M.Lahari 1, M.Mani Kumari 2 1,2 Department of ECE, GVPCEOW,Visakhapatnam. Abstract The increasing growth of sub-micron

More information

Instructions. Final Exam CPSC/ELEN 680 December 12, Name: UIN:

Instructions. Final Exam CPSC/ELEN 680 December 12, Name: UIN: Final Exam CPSC/ELEN 680 December 12, 2005 Name: UIN: Instructions This exam is closed book. Provide brief but complete answers to the following questions in the space provided, using figures as necessary.

More information

Strategies for Efficient and Effective Scan Delay Testing. Chao Han

Strategies for Efficient and Effective Scan Delay Testing. Chao Han Strategies for Efficient and Effective Scan Delay Testing by Chao Han A thesis submitted to the Graduate Faculty of Auburn University in partial fulfillment of the requirements for the Degree of Master

More information

Low Power Implementation of Launch-Off- Shift and Launch-Off-Capture Using T-Algorithm

Low Power Implementation of Launch-Off- Shift and Launch-Off-Capture Using T-Algorithm Low Power Implementation of Launch-Off- Shift and Launch-Off-Capture Using T-Algorithm S.Akshaya 1, M.Divya 2, T.Indhumathi 3, T.Jaya Sree 4, T.Murugan 5 U.G. Student, Department of ECE, ACE College, Hosur,

More information

Clock Gate Test Points

Clock Gate Test Points Clock Gate Test Points Narendra Devta-Prasanna and Arun Gunda LSI Corporation 5 McCarthy Blvd. Milpitas CA 9535, USA {narendra.devta-prasanna, arun.gunda}@lsi.com Abstract Clock gating is widely used in

More information

At-speed Testing of SOC ICs

At-speed Testing of SOC ICs At-speed Testing of SOC ICs Vlado Vorisek, Thomas Koch, Hermann Fischer Multimedia Design Center, Semiconductor Products Sector Motorola Munich, Germany Abstract This paper discusses the aspects and associated

More information

Minimizing Peak Power Consumption during Scan Testing: Test Pattern Modification with X Filling Heuristics

Minimizing Peak Power Consumption during Scan Testing: Test Pattern Modification with X Filling Heuristics Minimizing Peak Power Consumption during Scan Testing: Test Pattern Modification with X Filling Heuristics Nabil Badereddine Patrick Girard Serge Pravossoudovitch Christian Landrault Arnaud Virazel Laboratoire

More information

Using on-chip Test Pattern Compression for Full Scan SoC Designs

Using on-chip Test Pattern Compression for Full Scan SoC Designs Using on-chip Test Pattern Compression for Full Scan SoC Designs Helmut Lang Senior Staff Engineer Jens Pfeiffer CAD Engineer Jeff Maguire Principal Staff Engineer Motorola SPS, System-on-a-Chip Design

More information

An Experiment to Compare AC Scan and At-Speed Functional Testing

An Experiment to Compare AC Scan and At-Speed Functional Testing An Experiment to Compare AC Scan and At-Speed Functional Testing Peter Maxwell, Ismed Hartanto and Lee Bentz Integrated Circuit Business Division Agilent Technologies ABSTRACT This paper describes an experimental

More information

EE241 - Spring 2001 Advanced Digital Integrated Circuits. References

EE241 - Spring 2001 Advanced Digital Integrated Circuits. References EE241 - Spring 2001 Advanced Digital Integrated Circuits Lecture 28 References Rabaey, Digital Integrated Circuits and EE241 (1998) notes Chapter 25, ing of High-Performance Processors by D.K. Bhavsar

More information

Static Timing Analysis for Nanometer Designs. A Practical Approach

Static Timing Analysis for Nanometer Designs. A Practical Approach Static Timing Analysis for Nanometer Designs A Practical Approach J. Bhasker Rakesh Chadha Static Timing Analysis for Nanometer Designs A Practical Approach J. Bhasker Rakesh Chadha esilicon Corporation

More information

At-Speed Transition Fault Testing With Low Speed Scan Enable

At-Speed Transition Fault Testing With Low Speed Scan Enable At-Speed Transition Fault Testing With Low Speed Scan Enable 1 Nisar Ahmed, C. P. Ravikumar AS Product Development Center Teas Instruments India Bangalore - 560 093 n-ahmed2,ravikumar @ti.com Mohammad

More information

Enhanced Launch-Off-Capture Transition Fault Testing

Enhanced Launch-Off-Capture Transition Fault Testing Enhanced Launch-Off-apture Transition Fault Testing Nisar Ahmed, Mohammad Tehranipoor 2,.P. Ravikumar ASI Product Development enter, Texas Instruments India, n-ahmed2,ravikumar@ti.com 2 Dept. of SEE, Univ.

More information

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits N.Brindha, A.Kaleel Rahuman ABSTRACT: Auto scan, a design for testability (DFT) technique for synchronous sequential circuits.

More information

Logic Design for Single On-Chip Test Clock Generation for N Clock Domain - Impact on SOC Area and Test Quality

Logic Design for Single On-Chip Test Clock Generation for N Clock Domain - Impact on SOC Area and Test Quality and Communication Technology (IJRECT 6) Vol. 3, Issue 3 July - Sept. 6 ISSN : 38-965 (Online) ISSN : 39-33 (Print) Logic Design for Single On-Chip Test Clock Generation for N Clock Domain - Impact on SOC

More information

Testability: Lecture 23 Design for Testability (DFT) Slide 1 of 43

Testability: Lecture 23 Design for Testability (DFT) Slide 1 of 43 Testability: Lecture 23 Design for Testability (DFT) Shaahin hi Hessabi Department of Computer Engineering Sharif University of Technology Adapted, with modifications, from lecture notes prepared p by

More information

VLSI System Testing. BIST Motivation

VLSI System Testing. BIST Motivation ECE 538 VLSI System Testing Krish Chakrabarty Built-In Self-Test (BIST): ECE 538 Krish Chakrabarty BIST Motivation Useful for field test and diagnosis (less expensive than a local automatic test equipment)

More information

DESIGN FOR TESTABILITY

DESIGN FOR TESTABILITY DESIGN FOR TESTABILITY Raimund Ubar raiub@pld.ttu.ee Design for Testability Lectures Testability of Digital Systems Design for Testability Methods BIST/BISD Practical Works Two laboratory works Course

More information

MULTI-CYCLE AT SPEED TEST. A Thesis MALLIKA SHREE POKHAREL

MULTI-CYCLE AT SPEED TEST. A Thesis MALLIKA SHREE POKHAREL MULTI-CYCLE AT SPEED TEST A Thesis by MALLIKA SHREE POKHAREL Submitted to the Office of Graduate and Professional Studies of Texas A&M University in partial fulfillment of the requirements for the degree

More information

Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction

Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction Low Illinois Scan Architecture for Simultaneous and Test Data Volume Anshuman Chandra, Felix Ng and Rohit Kapur Synopsys, Inc., 7 E. Middlefield Rd., Mountain View, CA Abstract We present Low Illinois

More information

Lecture 23 Design for Testability (DFT): Full-Scan (chapter14)

Lecture 23 Design for Testability (DFT): Full-Scan (chapter14) Lecture 23 Design for Testability (DFT): Full-Scan (chapter14) Definition Ad-hoc methods Scan design Design rules Scan register Scan flip-flops Scan test sequences Overheads Scan design system Summary

More information

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL Random Access Scan Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL ramamve@auburn.edu Term Paper for ELEC 7250 (Spring 2005) Abstract: Random Access

More information

Unit V Design for Testability

Unit V Design for Testability Unit V Design for Testability Outline Testing Logic Verification Silicon Debug Manufacturing Test Fault Models Observability and Controllability Design for Test Scan BIST Boundary Scan Slide 2 Testing

More information

Design for test methods to reduce test set size

Design for test methods to reduce test set size University of Iowa Iowa Research Online Theses and Dissertations Summer 2018 Design for test methods to reduce test set size Yingdi Liu University of Iowa Copyright 2018 Yingdi Liu This dissertation is

More information

Digital Integrated Circuits Lecture 19: Design for Testability

Digital Integrated Circuits Lecture 19: Design for Testability Digital Integrated Circuits Lecture 19: Design for Testability Chih-Wei Liu VLSI Signal Processing LAB National Chiao Tung University cwliu@twins.ee.nctu.edu.tw DIC-Lec19 cwliu@twins.ee.nctu.edu.tw 1 Outline

More information

Static Timing Analysis for Nanometer Designs

Static Timing Analysis for Nanometer Designs J. Bhasker Rakesh Chadha Static Timing Analysis for Nanometer Designs A Practical Approach 4y Spri ringer Contents Preface xv CHAPTER 1: Introduction / 1.1 Nanometer Designs 1 1.2 What is Static Timing

More information

Diagnostic Test Generation for Path Delay Faults in a Scan Circuit. Zeshi Luo

Diagnostic Test Generation for Path Delay Faults in a Scan Circuit. Zeshi Luo Diagnostic Test Generation for Path Delay Faults in a Scan Circuit by Zeshi Luo A thesis submitted to the Graduate Faculty of Auburn University in partial fulfillment of the requirements for the Degree

More information

Lecture 23 Design for Testability (DFT): Full-Scan

Lecture 23 Design for Testability (DFT): Full-Scan Lecture 23 Design for Testability (DFT): Full-Scan (Lecture 19alt in the Alternative Sequence) Definition Ad-hoc methods Scan design Design rules Scan register Scan flip-flops Scan test sequences Overheads

More information

Based on slides/material by. Topic Testing. Logic Verification. Testing

Based on slides/material by. Topic Testing. Logic Verification. Testing Based on slides/material by Topic 4 K. Masselos http://cas.ee.ic.ac.uk/~kostas J. Rabaey http://bwrc.eecs.berkeley.edu/classes/icbook/instructors.html igital Integrated Circuits: A esign Perspective, Prentice

More information

IMPLEMENTATION OF X-FACTOR CIRCUITRY IN DECOMPRESSOR ARCHITECTURE

IMPLEMENTATION OF X-FACTOR CIRCUITRY IN DECOMPRESSOR ARCHITECTURE IMPLEMENTATION OF X-FACTOR CIRCUITRY IN DECOMPRESSOR ARCHITECTURE SATHISHKUMAR.K #1, SARAVANAN.S #2, VIJAYSAI. R #3 School of Computing, M.Tech VLSI design, SASTRA University Thanjavur, Tamil Nadu, 613401,

More information

DELAY TEST SCAN FLIP-FLOP (DTSFF) DESIGN AND ITS APPLICATIONS FOR SCAN BASED DELAY TESTING

DELAY TEST SCAN FLIP-FLOP (DTSFF) DESIGN AND ITS APPLICATIONS FOR SCAN BASED DELAY TESTING DELAY TEST SCAN FLIP-FLOP (DTSFF) DESIGN AND ITS APPLICATIONS FOR SCAN BASED DELAY TESTING Except where reference is made to the work of others, the work described in this dissertation is my own or was

More information

A Briefing on IEEE Standard Test Access Port And Boundary-Scan Architecture ( AKA JTAG )

A Briefing on IEEE Standard Test Access Port And Boundary-Scan Architecture ( AKA JTAG ) A Briefing on IEEE 1149.1 1990 Standard Test Access Port And Boundary-Scan Architecture ( AKA JTAG ) Summary With the advent of large Ball Grid Array (BGA) and fine pitch SMD semiconductor devices the

More information

PHYSICAL DESIGN ESSENTIALS An ASIC Design Implementation Perspective

PHYSICAL DESIGN ESSENTIALS An ASIC Design Implementation Perspective PHYSICAL DESIGN ESSENTIALS An ASIC Design Implementation Perspective PHYSICAL DESIGN ESSENTIALS An ASIC Design Implementation Perspective Khosrow Golshan Conexant Systems, Inc. 1 3 Khosrow Golshan Conexant

More information

Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques

Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques Akkala Suvarna Ratna M.Tech (VLSI & ES), Department of ECE, Sri Vani School of Engineering, Vijayawada. Abstract: A new

More information

Launch-on-Shift-Capture Transition Tests

Launch-on-Shift-Capture Transition Tests Launch-on-Shift-Capture Transition Tests Intaik Park and Edward J. McCluskey Center for Reliable Computing, Stanford University, Stanford, USA Abstract The two most popular transition tests are launch-on-shift

More information

SoC IC Basics. COE838: Systems on Chip Design

SoC IC Basics. COE838: Systems on Chip Design SoC IC Basics COE838: Systems on Chip Design http://www.ee.ryerson.ca/~courses/coe838/ Dr. Gul N. Khan http://www.ee.ryerson.ca/~gnkhan Electrical and Computer Engineering Ryerson University Overview SoC

More information

This Chapter describes the concepts of scan based testing, issues in testing, need

This Chapter describes the concepts of scan based testing, issues in testing, need Chapter 2 AT-SPEED TESTING AND LOGIC BUILT IN SELF TEST 2.1 Introduction This Chapter describes the concepts of scan based testing, issues in testing, need for logic BIST and trends in VLSI testing. Scan

More information

Page 1 of 6 Follow these guidelines to design testable ASICs, boards, and systems. (includes related article on automatic testpattern generation basics) (Tutorial) From: EDN Date: August 19, 1993 Author:

More information

THEORY AND APPLICATIONS OF SPECIAL FUNCTIONS. A Volume Dedicated to Mizan Rahman

THEORY AND APPLICATIONS OF SPECIAL FUNCTIONS. A Volume Dedicated to Mizan Rahman - - - - -- - THEORY AND APPLICATIONS OF SPECIAL FUNCTIONS A Volume Dedicated to Mizan Rahman Developments in Mathematics VOLUME 13 Series Editor: Krishnaswami Alladi, University of Florida, U.S.A. Aims

More information

A Novel Low-overhead Delay Testing Technique for Arbitrary Two-Pattern Test Application

A Novel Low-overhead Delay Testing Technique for Arbitrary Two-Pattern Test Application A Novel Low-overhead elay Testing Technique for Arbitrary Two-Pattern Test Application Swarup Bhunia, Hamid Mahmoodi, Arijit Raychowdhury, and Kaushik Roy School of Electrical and Computer Engineering,

More information

New tests and test methodologies for scan cell internal faults

New tests and test methodologies for scan cell internal faults University of Iowa Iowa Research Online Theses and Dissertations Fall 2009 New tests and test methodologies for scan cell internal faults Fan Yang University of Iowa Copyright 2009 Fan Yang This dissertation

More information

Avoiding False Pass or False Fail

Avoiding False Pass or False Fail Avoiding False Pass or False Fail By Michael Smith, Teradyne, October 2012 There is an expectation from consumers that today s electronic products will just work and that electronic manufacturers have

More information

MVP: Capture-Power Reduction with Minimum-Violations Partitioning for Delay Testing

MVP: Capture-Power Reduction with Minimum-Violations Partitioning for Delay Testing MVP: Capture-Power Reduction with Minimum-Violations Partitioning for Delay Testing Zhen Chen 1, Krishnendu Chakrabarty 2, Dong Xiang 3 1 Department of Computer Science and Technology, 3 School of Software

More information

Diagnosis, Modeling and Tolerance of Scan Chain Hold-Time Violations

Diagnosis, Modeling and Tolerance of Scan Chain Hold-Time Violations Diagnosis, Modeling and Tolerance of Scan Chain Hold-Time Violations Ozgur Sinanoglu Math & Computer Science Department Kuwait University Safat, Kuwait 13060 ozgur@sci.kuniv.edu.kw Philip Schremmer Qualcomm

More information

Sharif University of Technology. SoC: Introduction

Sharif University of Technology. SoC: Introduction SoC Design Lecture 1: Introduction Shaahin Hessabi Department of Computer Engineering System-on-Chip System: a set of related parts that act as a whole to achieve a given goal. A system is a set of interacting

More information

Failure Analysis Technology for Advanced Devices

Failure Analysis Technology for Advanced Devices ISHIYAMA Toshio, WADA Shinichi, KUZUMI Hajime, IDE Takashi Abstract The sophistication of functions, miniaturization and reduced weight of household appliances and various devices have been accelerating

More information

Scan-shift Power Reduction Based on Scan Partitioning and Q-D Connection

Scan-shift Power Reduction Based on Scan Partitioning and Q-D Connection Scan-shift Power Reduction Based on Scan Partitioning and Q-D Connection Tiebin Wu, Li Zhou and Hengzhu Liu College of Computer, National University of Defense Technology Changsha, China e-mails: {tiebinwu@126.com,

More information

New Design Strategy for Improving Hardware Trojan Detection and Reducing Trojan Activation Time

New Design Strategy for Improving Hardware Trojan Detection and Reducing Trojan Activation Time New Design Strategy for Improving Hardware Trojan Detection and Reducing Trojan Activation Time Hassan Salmani and Mohammad Tehranipoor ECE Department University of Connecticut {salmani h,tehrani}@engr.uconn.edu

More information

A Critical-Path-Aware Partial Gating Approach for Test Power Reduction

A Critical-Path-Aware Partial Gating Approach for Test Power Reduction A Critical-Path-Aware Partial Gating Approach for Test Power Reduction MOHAMMED ELSHOUKRY University of Maryland MOHAMMAD TEHRANIPOOR University of Connecticut and C. P. RAVIKUMAR Texas Instruments India

More information

High-Frequency, At-Speed Scan Testing

High-Frequency, At-Speed Scan Testing High-Frequency, At-Speed Scan Testing Xijiang Lin, Ron Press, Janusz Rajski, Paul Reuter, Thomas Rinderknecht, Bruce Swanson, and Nagesh Tamarapalli Mentor Graphics Editor s note: At-speed scan testing

More information

Through Silicon Via Testing Known Good Die (KGD) or Probably Good Die (PGD) Doug Lefever Advantest

Through Silicon Via Testing Known Good Die (KGD) or Probably Good Die (PGD) Doug Lefever Advantest Through Silicon Via Testing Known Good Die (KGD) or Probably Good Die (PGD) Doug Lefever Advantest Single Die Fab Yield will drive Cost Equation. Yield of the device to be stacked 100% 90% 80% Yield of

More information

Logic Design for On-Chip Test Clock Generation- Implementation Details and Impact on Delay Test Quality

Logic Design for On-Chip Test Clock Generation- Implementation Details and Impact on Delay Test Quality Logic Design for On-Chip Test Clock Generation- mplementation Details and mpact on Delay Test Quality Beck, Olivier Barondeau, Martin Kaibel, Frank Poehl Technologies AG 73 81541Munich, Germany Xijiang

More information

BOARD TEST The powerful combination of flying probe test and JTAG test speeds up testing

BOARD TEST The powerful combination of flying probe test and JTAG test speeds up testing BOARD TEST The powerful combination of flying probe test and JTAG test speeds up testing By Olivier Artur (Alcatel CIT), Christophe Lotz (ASTER Ingénierie) and Peter de Bruyn Kops (Acugen Software, Inc.)

More information

Lecture 18 Design For Test (DFT)

Lecture 18 Design For Test (DFT) Lecture 18 Design For Test (DFT) Xuan Silvia Zhang Washington University in St. Louis http://classes.engineering.wustl.edu/ese461/ ASIC Test Two Stages Wafer test, one die at a time, using probe card production

More information

VirtualScan TM An Application Story

VirtualScan TM An Application Story Test Data Compaction Tool from SynTest TM VirtualScan TM An Application Story January 29, 2004 Hiroshi Furukawa SoC No. 3 Group, SoC Development Division 1 Agenda Current Problems What is VirtualScan?

More information

Final Exam CPSC/ECEN 680 May 2, Name: UIN:

Final Exam CPSC/ECEN 680 May 2, Name: UIN: Final Exam CPSC/ECEN 680 May 2, 2008 Name: UIN: Instructions This exam is closed book. Provide brief but complete answers to the following questions in the space provided, using figures as necessary. Show

More information

Weighted Random and Transition Density Patterns For Scan-BIST

Weighted Random and Transition Density Patterns For Scan-BIST Weighted Random and Transition Density Patterns For Scan-BIST Farhana Rashid Intel Corporation 1501 S. Mo-Pac Expressway, Suite 400 Austin, TX 78746 USA Email: farhana.rashid@intel.com Vishwani Agrawal

More information

An On-Chip Test Clock Control Scheme for Multi-Clock At-Speed Testing

An On-Chip Test Clock Control Scheme for Multi-Clock At-Speed Testing 16th IEEE Asian Test Symposium An On-Chip Test Clock Control Scheme for Multi-Clock At-Speed Testing 1, 2 Xiao-Xin FAN, 1 Yu HU, 3 Laung-Terng (L.-T.) WANG 1 Key Laboratory of Computer System and Architecture,

More information

Future of Analog Design and Upcoming Challenges in Nanometer CMOS

Future of Analog Design and Upcoming Challenges in Nanometer CMOS Future of Analog Design and Upcoming Challenges in Nanometer CMOS Greg Taylor VLSI Design 2010 Outline Introduction Logic processing trends Analog design trends Analog design challenge Approaches Conclusion

More information

Built-In Self-Test (BIST) Abdil Rashid Mohamed, Embedded Systems Laboratory (ESLAB) Linköping University, Sweden

Built-In Self-Test (BIST) Abdil Rashid Mohamed, Embedded Systems Laboratory (ESLAB) Linköping University, Sweden Built-In Self-Test (BIST) Abdil Rashid Mohamed, abdmo@ida ida.liu.se Embedded Systems Laboratory (ESLAB) Linköping University, Sweden Introduction BIST --> Built-In Self Test BIST - part of the circuit

More information

Power Problems in VLSI Circuit Testing

Power Problems in VLSI Circuit Testing Power Problems in VLSI Circuit Testing Farhana Rashid and Vishwani D. Agrawal Auburn University Department of Electrical and Computer Engineering 200 Broun Hall, Auburn, AL 36849 USA fzr0001@tigermail.auburn.edu,

More information

Yorick Wilks. Machine Translation. Its Scope and Limits

Yorick Wilks. Machine Translation. Its Scope and Limits Machine Translation Yorick Wilks Machine Translation Its Scope and Limits 123 Yorick Wilks Department of Computer Science The University of Sheffield Regent Court, 211 Portobello Street Sheffield, S1 4DP,

More information

New Directions in Manufacturing Test

New Directions in Manufacturing Test New Directions in Manufacturing Test Jacob A. Abraham Computer Engineering Research Center The University of Texas at Austin Shanghai Jiao Tong University July 19, 2005 July 19, 2005 1 Research Areas Manufacturing

More information

Retiming Sequential Circuits for Low Power

Retiming Sequential Circuits for Low Power Retiming Sequential Circuits for Low Power José Monteiro, Srinivas Devadas Department of EECS MIT, Cambridge, MA Abhijit Ghosh Mitsubishi Electric Research Laboratories Sunnyvale, CA Abstract Switching

More information

Design for Test. Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective.

Design for Test. Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective. Design for Test Definition: Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective. Types: Design for Testability Enhanced access Built-In

More information

Design and Implementation of Uart with Bist for Low Power Dissipation Using Lp-Tpg

Design and Implementation of Uart with Bist for Low Power Dissipation Using Lp-Tpg IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 6, Issue 3, Ver. II (May. -Jun. 2016), PP 26-31 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Design and Implementation of

More information

Test Pattern Generator (TPG) for Low Power Logic Built In Self Test (BIST )

Test Pattern Generator (TPG) for Low Power Logic Built In Self Test (BIST ) Test Pattern Generator (TPG) for Low Power Logic Built In Self Test (BIST ) Sabir Hussain 1 K Padma Priya 2 Asst.Prof, Dept of ECE, MJ college of Engineering and Technology, Osmania University, Hyderabad,India

More information

Diagnosis of Resistive open Fault using Scan Based Techniques

Diagnosis of Resistive open Fault using Scan Based Techniques Diagnosis of Resistive open Fault using Scan Based Techniques 1 Mr. A. Muthu Krishnan. M.E., (Ph.D), 2. G. Chandra Theepa Assistant Professor 1, PG Scholar 2,Dept. of ECE, Regional Office, Anna University,

More information

Testing Digital Systems II

Testing Digital Systems II Testing Digital Systems II Lecture 2: Design for Testability (I) structor: M. Tahoori Copyright 2010, M. Tahoori TDS II: Lecture 2 1 History During early years, design and test were separate The final

More information

A Novel Scan Segmentation Design Method for Avoiding Shift Timing Failures in Scan Testing

A Novel Scan Segmentation Design Method for Avoiding Shift Timing Failures in Scan Testing A Novel Scan Segmentation Design Method for Avoiding Shift Timing Failures in Scan Testing Yamato, Yuta; Wen, Xiaoqing; Kochte, Michael A.; Miyase, Kohei; Kajihara, Seiji; Wang, Laung-Terng Proceedings

More information

Design for Testability Part II

Design for Testability Part II Design for Testability Part II 1 Partial-Scan Definition A subset of flip-flops is scanned. Objectives: Minimize area overhead and scan sequence length, yet achieve required fault coverage. Exclude selected

More information

Comparing Functional and Structural Tests

Comparing Functional and Structural Tests Comparing Functional and Structural Tests Peter Maxwell, Ismed Hartanto and Lee Bentz Imaging Electronics Division Agilent Technologies ABSTRACT This paper describes an experimental study to understand

More information

Simulation Mismatches Can Foul Up Test-Pattern Verification

Simulation Mismatches Can Foul Up Test-Pattern Verification 1 of 5 12/17/2009 2:59 PM Technologies Design Hotspots Resources Shows Magazine ebooks & Whitepapers Jobs More... Click to view this week's ad screen [ D e s i g n V i e w / D e s i g n S o lu ti o n ]

More information

Powerful Software Tools and Methods to Accelerate Test Program Development A Test Systems Strategies, Inc. (TSSI) White Paper.

Powerful Software Tools and Methods to Accelerate Test Program Development A Test Systems Strategies, Inc. (TSSI) White Paper. Powerful Software Tools and Methods to Accelerate Test Program Development A Test Systems Strategies, Inc. (TSSI) White Paper Abstract Test costs have now risen to as much as 50 percent of the total manufacturing

More information

K.T. Tim Cheng 07_dft, v Testability

K.T. Tim Cheng 07_dft, v Testability K.T. Tim Cheng 07_dft, v1.0 1 Testability Is concept that deals with costs associated with testing. Increase testability of a circuit Some test cost is being reduced Test application time Test generation

More information

REDUCING DYNAMIC POWER BY PULSED LATCH AND MULTIPLE PULSE GENERATOR IN CLOCKTREE

REDUCING DYNAMIC POWER BY PULSED LATCH AND MULTIPLE PULSE GENERATOR IN CLOCKTREE Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 3, Issue. 5, May 2014, pg.210

More information

Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow

Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow Bradley R. Quinton*, Mark R. Greenstreet, Steven J.E. Wilton*, *Dept. of Electrical and Computer Engineering, Dept.

More information

DESIGN OF LOW POWER TEST PATTERN GENERATOR

DESIGN OF LOW POWER TEST PATTERN GENERATOR International Journal of Electronics, Communication & Instrumentation Engineering Research and Development (IJECIERD) ISSN(P): 2249-684X; ISSN(E): 2249-7951 Vol. 4, Issue 1, Feb 2014, 59-66 TJPRC Pvt.

More information

ECE 715 System on Chip Design and Test. Lecture 22

ECE 715 System on Chip Design and Test. Lecture 22 ECE 75 System on Chip Design and Test Lecture 22 Response Compaction Severe amounts of data in CUT response to LFSR patterns example: Generate 5 million random patterns CUT has 2 outputs Leads to: 5 million

More information