Enhanced JTAG to test interconnects in a SoC

Size: px
Start display at page:

Download "Enhanced JTAG to test interconnects in a SoC"

Transcription

1 Enhanced JTAG to test interconnects in a SoC by Dany Lebel and Sorin Alin Herta 1 Enhanced JTAG to test interconnects in a SoC Dany Lebel ( ) and Sorin Alin Herta ( ) ELE-6306, Test de systèmes électroniques Département de génie électrique, École Polytechnique, Montréal (Qc) ABSTRACT: With constant evolution of smaller and faster technologies and the constant growing number of interconnects due in part to the evolution of current System on Chip (SoC), the interconnect integrity has became a new challenge to face for the test engineers. It must be included early in the design process of a component. The purpose of this document is to present an enhanced architecture of the traditional JTAG to test interconnect integrity. Usual cells are modified to create: 1) a test pattern generator according to the MT fault model that accurately represents integrity testing and 2) an observation unit to propagate the result to the output of the JTAG chain. New instructions need to be added to control the new functionalities included in the enhanced JTAG presented. Integrity test time has shown great improvements by the new architecture proposed at a relatively low area overhead considering the testability added to the design under test. Index Terms: boundary scan, DUT, fault model, integrity testing, Joint Test Action Group (JTAG), MA, MT, SoC, I. INTRODUCTION With newer technologies, interconnects (especially in SoC) has shown signal integrity defaults. Integrity is not properly tested with the widely used stuck at fault model. In this paper will be presented MA and MT fault models which are better suited f or integrity testing. An evolution of the current JTAG [1] to test interconnects is presented as the main topic of this paper. This paper is a literature review on the topic mainly focused on ref. [2]. The rest of the paper is described as follow. Section II gives a brief overview of the integrity of an electric signal. Section III presents Maximum Aggressor (MA), Multiple Transition (MT) and exhaustive fault models. Then, section IV details the enhanced JTAG by describing the cells and instructions involved. Section V presents experimental results achieved from ref. [2]. Finally, section VI will briefly conclude this paper. II. INTEGRITY DEFINITION An interconnect problem is mainly shown as a lack of integrity of the signal. So, it becomes obvious a brief review of signal integrity is due. The integrity of a signal is represented with two elements. Firstly, the values at the receiving end of interconnect need to be exact compared to the expected value. Therefore, no significant noise was added to the signal while passing through interconnect. Secondly, the delay to obtain the expected result is acceptable, meaning that the environment did not cause an unacceptable delay on interconnect under test. The coupling capacity and the mutual inductance of surrounding signals affect the integrity of a signal by adding some noise and some extra delays to the interconnect tested. Thus, integrity problems are very likely to happen on interconnects used to connect SoC. In those areas, there is mostly a great amount of interconnect wires which are known to be significantly long. It is of great importance for a SoC design company to pay attention to the interconnect integrity since the effects of such problems are not obvious to detect with usual production tests. The integrity loss effects are usually a shortened life length and intermittent functionality errors. Those effects tend to be detected once the component is owned by the customer. Since an error detected at that phase is very costly for a company, testing the integrity of interconnects is an important step in delivering a quality and reliable product. Since the integrity error is expressed as the effect from surrounding signals, it becomes obvious that the usual stuck at fault model is not sufficient for this application. Fault models more adapted to the integrity of a signal are presented in section III. Integrity testing can be compared to analog testing in the sense that an acceptable delay for each specific interconnect has to be defined. This represents a non binary threshold for the fail decision. It indicates that the configuration of the SoC must be known and it becomes a white box test strategy. III. FAULT MODELS There are two models suited for integrity loss testing, the Multiple Aggressors (MA) and Multiple Transitions (MT) models. The simpler model named MA is usually used for crosstalk analysis. Fig.1 shows that the victim signal on line V can be affected by the signals transitions on aggressor line(s) (A signal) near the victim. Z is a coupling component used to represent the coupling effect between the victim and aggressor line(s). MA model is based on C coupling only. When mutual inductance appears, it has been shown that MA doesn t reflect the worst case [3]. Fig. 1: Signal Integrity fault model

2 Enhanced JTAG to test interconnects in a SoC by Dany Lebel and Sorin Alin Herta 2 In order to have a maximal ringing with the MA model, the victim line is set quiescent and all the aggressors make simultaneous transitions in the same direction. When it is desired to have a maximal delay in MA model, the victim line and aggressor line(s) make(s) an opposite transition. Fig.2 shows the test patterns for detecting faults according to MA model. One of the most important cases not covered by MA is when the aggressor lines on one side of the victim is changed in one direction and the other aggressors (on the other side of interconnect) are set with transitions in the opposite direction. This would be the worst case scenario [3]. middle and beside the six aggressors. The patterns chosen for MT model are: 1) > , 2) > For MA model, the pattern is: > Fig. 4: Integrity delay comparison with MA and MT models Fig. 2: MA fault model and test patterns Generating exhaustive and pseudorandom patterns used to cover exhausting testing shows that there are some cases where aggressors are in quiescent mode and in this situation, they do not maximally affect the victim line for noise and delay. Those tests can be put aside. Fig. 3 shows a more complete Multiple Transition (MT) fault model example with three interconnects. The middle signal is the victim, while the two surrounding ones are aggressors. This better fault model for integrity covers all transitions on victim and multiple transitions on aggressors. MT has a single victim and a limited number of aggressors. All cases tested on victims (2 quiescent and 2 transitions per victim as shown in fig. 4) and only a subset of possible transitions on the aggressors. Cases which are known not to be pathologic such as when aggressors are constant are leaved. The maximum delay is created with MT patterns having a transition time between 35 and 70 ps more than MA. When inductance is included, the MA model is not able to generate the maximum of noise/delay on the victim line with it s generated vector. When the victim is between two aggressors, there are four cases, two with victim line kept quiescent at 0 or 1 and two with transitions of victim line from 0 to 1 and from 1 to 0. Four cases on the victim are thus tested for each victim. Each case is tested for multiple aggressor transitions (2 m-1 ). The minus one comes from the fact that we do not cover the victim among the aggressors test pattern. The number of test patterns for a group of m interconnects is therefore Np=m*4*2 m-1, m being the number of interconnect to test. Each signal is tested as a victim. Based on this formula the time will increase exponentially with the number of interconnects. The number of surrounding aggressor lines used needs to be kept minimal to improve the test time. We define k as a local factor which determines how many aggressor(s) have an impact on a victim line based on a threshold established by the precision needed. Fig. 3 : MT fault model and test patterns There are some important differences between MT and MA models. MT is a complete model containing all worst cases for integrity, MA model being just a subset of those transitions from MT represented as the shaded cells in Fig. 3. Another important difference is double direction change of aggressors in MT versus single direction change in MA model. Because the quiescent cases of aggressors lines for which integrity loss will not be maximal do not need to be taken in consideration, the MT model is not an optimized model. Fig.4 shows a case in which the fault models are applied on a seven interconnect system that contains a victim line in the Fig. 5 : Simulation results for different number of aggressor lines (k) Fig. 5 shows a simulation in which the number of aggressor(s) considered will change from k=1 to k=5 to find how the victim line is impacted when kept quiescent at 0 while Vdd=1.8V. The peak noise difference which corresponds to two local factors k=3 and k=4 is: Vpeak(k=4) Vpeak(k=3)=0.048V. This difference is minimal for many applications. I this case the local factor k of 3 can be used. This value of k set to 3 is dependent of technology and application. The choice of local

3 Enhanced JTAG to test interconnects in a SoC by Dany Lebel and Sorin Alin Herta 3 factor k will be based on a tradeoff between longer simulation time and accuracy. IV. EXTENDED JTAG Some modifications to JTAG standard are proposed for interconnect testing [2], [5]. Two new modules to replace the usual BSC cell are presented. The first one is used as a test pattern generator and the second one, as an integrity observation (or decision we shall say). A. New JTAG cells 1) Generation cell (PGBSC): the first optimization presented is the creation of a new modified BSC cell that can generate the test vectors needed to cover our MT fault model. Having a module that generates all the vectors would just be the best for performance but might be costly as well. The approach presented in this document allows us to load only some seeds through the scan chain instead of all the vectors with an adaptation of the original BSC. Therefore, it helps to get closer to the test at clock speed ultimate target. Each seed is able to generate multiple test vectors. The number of seed to enter depends of k, the number of interconnects considered for the integrity of a tested victim. In Fig. 5 are presented a reorganization of the faults of Fig. 3 again for a three interconnects aggressor/victim (A/V) pattern. It produces a regular pattern on each line that can be regenerated in time with a proper seed. It must be noted that in the end of each line, the vector goes back to the original seed. Through each line, we can see that the victim line changes every two clocks and the aggressor line, at every clock. This is the base of the simplicity of the hardware implementation. To avoid reloading the seed often and since the sequence goes back to the original seed, it is a good approach to test one seed for all the A/V test patterns and then, start over with the next seed loaded until all the necessary seeds are tested. Fig. 5 : Reorganization of MT fault model for HW implementation In terms of hardware, to produce patterns that update every clock or every two clocks depending if the current cell is an aggressor or a victim means we have to divide the frequency of the original clock by two. The patterns of the clock signals are presented in Fig. 6. Fig. 6 : Clock used on interconnect depending on V/A The total number of seeds for a test situation of m interconnects will be Ns=m*2 m-1 =(2k+1)*2 2k in which 2 m-1 shows all the possible combinations of m-1 aggressor lines and k is the number of interconnects surrounding the victim considered. Each interconnect can act as a victim or an aggressor. One interconnect is tested as a victim now and then in the next test session can be tested as aggressor. The victim will propagate through interconnects for complete interconnect testing. The local factor k will decide how many interconnects test can we do in parallel. With a local factor k of 2, the victim selection pattern for a n-bit interconnect system is shown in Table I. The sequence sent to PGBSCs cells will start with a victim and then two 2 aggressors for the first set of interconnects and so on for the following interconnects. Intuitively, the number of victim lines tested in parallel can be found to be [n/(k+1)]. Table 1 :Victim selection pattern in an n-bit interconnect when k = 2 Victim location Victime sel data VAAVAA.VAA AVAAVAA VA AAVAAVAA.V Fig. 7 shows the hardware implementation of the new PGBSC cell. The shaded part represents the hardware added to the original design of the BSC. We can note it only needs one new input signal (SI). The seed is previously loaded with the scan chain in FF1 which is sent to FF2 before to begin the test. The A/V pattern is then inserted in the flip-flop FF1 by successive shifts form the test input TDI. Q1 which is the victim/aggressor (V/A) pattern is later shifted to the next PGBSC cell with the scan chain when a seed is completely finished for the current V/A pattern (4 clocks for MT model). Since the seed is given back at the end, we effectively do not need to reload it. When a seed is tested for the whole A/V pattern, the next seed needs to be charged again with the scan chain via FF1 which is then loaded in FF2. When we cover each of the lines for the MT model presented on Fig. 5, FF2 is inverted (Q2 inverted is sent back to D2) producing an opposite test vector. FF3 is a T flip-flop that can divide the clock frequency sent to FF2 by 2. The clock used is toggled with a multiplexer controlled by Q1 and SI. If not in test mode (SI = 0) or in test mode while Q1 would indicates an aggressor interconnect (Q1 = 0), the original clock is sent. If in test mode and the current

4 Enhanced JTAG to test interconnects in a SoC by Dany Lebel and Sorin Alin Herta 4 interconnect is set as a victim, the generated clock at half the original frequency is sent to built the fault model of Fig. 5. Fig. 9 : Propagation of the integrity value to TDO Fig. 7 : Hardware implementation of the PGBSC cell 2) Observation cell(obsc) : The second proposed cell is the one that detects the presence of an integrity problem and store the value in an output register. The value is held for the next test cycles until read and sent to the output test pin TDO. The value can be verified at a variable rate depending on test time suited and level of details regarding where is located the integrity problem (which test vector caused it?). The result capture and following shifts can be done: 1- Once all the vectors were tested for all the victims 2- After each seed for each victim/aggressor pattern 3- After each test vector is applied The first option is not costly but do not give a good level of details of the interconnect problem. The third one however is very costly but gives the exact information where the fail was found. The second option is a compromise between both. This choice can be based on some external factors like the level of confidence on the process used or the will to know the exact location of the fail for debug purposes. It also might be affected by the desired time before to observe the fail. This has an impact on the cost of the test in term of time under test. As can be seen on the hardware implementation of the OBSC cell in Fig. 8, there is only two new input signals needed with this new architecture (SI and CE). CE is used to activate/deactivate the ILS module which is the integrity sensor. SI however toggles between sending ILS s result to FF1 or sending the regular input. We can also note that shift DR has the priority on the SI pin when in shiftdr mode (scan chain shifted). Once the integrity value is loaded in FF1 by the activation of SI, the result is sent to the next OBSC cell to be propagated to TDO with shift instructions. Fig. 8 : Hardware implementation of the OBSC cell Fig. 9 shows the propagation of the result to TDO. The complete physical implementation of the Integrity Loss Sensor module is shown in Fig. 10. Essentially, the interconnect signal and its opposite value through an inverter are passed through transmission gates. The b signal generated controls the transmission gate so that its outputs are kept constants during the small interval for which b is zero. The rest of the time, the outputs are an exact replica of the input of the transmission gates (transparency mode). Once in the transparency mode, the opposite values between the two inputs of the next stage, the XNOR gate needs to be found to conclude in no integrity problem. If they are the same, the XNOR gate will detect it and send the result of 1 to the output flip-flop. Once the c signal is set to one, the output of the FF keeps track of it and keeps the value to one (we have integrity loss). This can be done with a reset flip-flop and the output of this ILS cell sent to its clock input. The flip-flop is being triggered on the rising edge of the clock. And reset would be generated by the TAP controller. This cell is in fact triggered by any unexpected delays of interconnect. Fig. 10 : Hardware details of the ILS module Some diagrams showing an example are presented on Fig. 11. On the first rising edge of the clock, at t equals 0, interconnect was fast enough but at t equal 3 ns, it is clear the interconnect reacts too slowly. The ILS would trigger the interval in which outputs of the transmission gates are the same right after b is set to 1. Acceptable delay as shown on the timing diagrams can be tuned by changing the gates delays. The acceptable Delay Region can be calculated through the following equation: ADR = t(xnor) + t(inv1) + t(nand) t(inv2)

5 Enhanced JTAG to test interconnects in a SoC by Dany Lebel and Sorin Alin Herta 5 The core before core i executes the BYPASS instruction to scan seeds from the system input. Broken lines in Fig.14 represent the seeds loading. At that time, the core i executes the PRELOAD instruction. The test patterns are then generated internally using the darker path in Fig.12. At the same time vectors are generated, the O-SITEST cell is activated to test for integrity with CE signal. Fig. 12 : Test data flow for the G-SITEST instruction Fig. 11 : Timing diagram of the OBSC cell Therefore, by scaling the two inverters inv1 and inv2, it is possible to tune the circuit for a specific delay. Increasing the delay of inv2 and decreasing the delay of inv1 both gives a smaller acceptable delay range and thus a better faster interconnect. This delay is sized with an odd number of inverters in series. The delay of the second inverter is usually kept easily tunable for adjustments to different interconnects and different process variation. Table 2 shows some tests that can affect the ADR. Table 2 : ADR for different inverter configurations T(INV1) [*10 t(not)] T(INV2) [*t(not)] ADR [ps] B. New Test Instructions Two new instructions are defined: 1) G-SITEST to activate the new cell PGBSC to generate patterns for test and activate O-SITEST to check for integrity and 2) O-SITEST to read out the test integrity result. 1) G-SITEST Instruction: When it is used with the new enhanced boundary scan architecture, G-SITEST will generate test patterns. The PRELOAD instruction will load the seeds in BSC. Then will follow G-SITEST instruction which after being loaded in Update-IR TAP state will activate all the related signals. The signal SI=1 will activate PGBSC cells in integrity test mode as Victim or Aggressor depending of the pattern loaded in FF1 and the signal CE=1 will enable the ILS cells to capture signal integrity information. During the Shift- DR state, the victim-select data is shifted into FF1 of PGBSCs. Every Update-DR will generate pattern for MT model. To generate the three test patterns per victim needed (3 transitions to test 4 cases by seed), three Update-DR signals are generated. 2) O-SITEST Instruction: This instruction takes place after the loss information has been stored in ILS FF of OBSC cell. The role of O-SITEST is to capture and scan out the content of ILS FF. After the instruction is loaded in the Update-IR state, the control signals SI = 1 and CE = 0 (to deactivate ILS) are generated. While we run O-SITEST instruction, the ILS cell will not receive input data. Fig.13 shows the data flow in an OBSC cell (darker path again). All the cores after j might execute BYPASS instruction to scan out data to system output. Otherwise, if we want to run an integrity test on the next core as well, it would be in SHIFTDR mode. Specific conditions must be followed if we want to test more cores at the same time since the pattern generation affects the whole BSC chain. Fig.14 shows the algorithm for signal integrity test processing based on these two new instructions. Fig. 13 : Test data flow for the O-SITEST instruction Fig. 14 :Sequence of instruction for JTAG testing To scan the seed into BSCs, the PRELOAD instruction was used. When G-SITEST is loaded into the instruction register, the PGBSCs will generate patterns which are applied to the interconnects. At the receiving end of these interconnects, the ILS cells will detect any violation. The integrity loss data is recorded in ILS FF and must be read out by O-SITEST

6 Enhanced JTAG to test interconnects in a SoC by Dany Lebel and Sorin Alin Herta 6 instruction. This instruction deactivates the ILS cells because integrity test mode and integrity loss information propagation mode are not compatible. Before the scan-out phase is completed, new data will be scanned in if pattern generation is activated and may be applied to interconnects or cores in the Update-DR state. This can cause ILS to lose integrity-loss information sampled earlier. V. SIMULATION RESULTS The synthesis results of the described implementation obtained with design analyzer [4] is described in this section [2]. Use of the new enhanced cells (PGSBC and OBSC) compared to conventional BSC has shown the overhead area presented in Table 3. We can conclude that the enhanced cells PGBSC and OBSC are 38%-46% more costly in term of area than conventional BSC. This is not that expensive compared to the overall cost of boundary scan architecture. The control logic which is registered is known to use more area. The user also has the possibility of insert/change cells only on the interesting interconnects, thus the price in this case would be lower. The bidirectional cell which merges both PGSBC and OBSC in only one universal cell shows a smaller area overhead (28%) but the cells take much more area. It makes the cell more general at the cost of extra area. Having in mind the area overhead for test is usually kept minimal by the designers, this idea would be less interesting. Table 3 : Area cost analysis for enhanced BSC cells Test Architecture Cost [NAND] Sending Observing Bidirectional Conventional Cells Enhanced Cells Area Increase % Table 4 shows the difference between the application of MT model in test using enhanced boundary scan and conventional boundary scan. We note that with increasing number of interconnects, the test is applied faster with our extended JTAG compared to the original JTAG. Table 4 : Application test time of MT model with original and new BSC cells Methods MT-Pattern Application Time [Cycle] (p=0) n=8 n=16 n=32 k=2 k=3 k=2 k=3 k=2 k=3 N clk_ebs N clk_bs Time Reduction % 86.1% 88.5% 88.3% 90.3% 88.9% 91.8% Table 5 compares how fast the three observation methods presented in section IV are. Table 5 : Observation time of MT model with the three methods Observation Test Time [Cycle] (q=0) Methods n=8 n=16 n=32 k=2 k=3 k=2 k=3 k=2 k=3 Method Method Method The method choice depends on the application. Method one is amazingly fast because it is in fact the time taken to go through the output chain only one time. Method three is however extremely expensive as we expected. It costs 320 times more than method 1 when k equals 2 and 1792 times when k equals 3. In the end, method 2 can be used as a tradeoff between the time of test and accuracy. Table 6 shows the maximum noise voltage and skew differences between MA and MT models for different local factor k. We observe an improvement in terms of integrity delay tested of up to 9.6% with MT over MA when k is 4. Also, the results are better when k equals 3 or 4. Table 6 : MT and MA comparison with different k Observation Test Time [Cycle] (q=0) k MT MA Vnoise[V] Delay[ps] Vnoise[V] Delay[ps] k= k= k= Overall, the new enhanced JTAG presented shows huge improvement in term of time of test at a reasonable area cost. The new model used is also more suited for integrity tests. VI. CONCLUSION The proposed MT fault model is a superset of MA model and is much more capable of testing the capacitive and inductive couplings among interconnects based on JTAG boundary-scan architecture than MA model which is only based on capacitive couplings. Hardware modifications proposed compared with the standard JTAG are: 1) new cells used for patterns generation named PGBSC, 2) modified BSC named OBSC used to observe the integrity at the receiving side of the interconnects, and 3) modifications of the TAP controller to handle two new instructions, G-SITEST and O-SITEST. This new architecture detects worst case of delay and noise integrity violations. Practically, these new cells can be used only for those long interconnects susceptible to signal integrity failures. With more A/V interconnects simultaneously involved in our test procedure, more efficient results would be obtained with our enhanced JTAG. The advantage of this proposed architecture is that it provides area cost-effective solution for optimal interconnect test using the popular JTAG standard. The performance results in terms of processing time and quality of interconnect test show a net improvement which can easily be translated to a cost effective solution for a SoC. REFERENCES [1] Joint Test Action Group (JTAG) documentation Available: [online] [2] Mohammad H Tehranipour, Nisar Ahmed and Mehrdad Nourani, Test SoC Interconnects for signal Integrity using extended JTAG, IEEE, [3] S. Naffziger, Design methodologies for interconnects in GHz+ ICs, presented at the Int. Solid Stat Conf., 1999 [4] Synopsys design compiler description Available: [online] [5] N. Ahmed, M. H. Tehranipour and M. Nourani, Extending JTAG for testing signal integrity in SoCs, 2003

Extending JTAG for Testing Signal Integrity in SoCs

Extending JTAG for Testing Signal Integrity in SoCs Extending JTAG for Testing Signal Integrity in SoCs N. Ahmed, M. Tehranipour, M. Nourani Center for Integrated Circuits & Systems The University of Texas at Dallas Richardson, TX 7583-688 nxa86,mht2,nourani

More information

Scan. This is a sample of the first 15 pages of the Scan chapter.

Scan. This is a sample of the first 15 pages of the Scan chapter. Scan This is a sample of the first 15 pages of the Scan chapter. Note: The book is NOT Pinted in color. Objectives: This section provides: An overview of Scan An introduction to Test Sequences and Test

More information

EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP. Due İLKER KALYONCU, 10043

EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP. Due İLKER KALYONCU, 10043 EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP Due 16.05. İLKER KALYONCU, 10043 1. INTRODUCTION: In this project we are going to design a CMOS positive edge triggered master-slave

More information

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September-2014 917 The Power Optimization of Linear Feedback Shift Register Using Fault Coverage Circuits K.YARRAYYA1, K CHITAMBARA

More information

Design of Fault Coverage Test Pattern Generator Using LFSR

Design of Fault Coverage Test Pattern Generator Using LFSR Design of Fault Coverage Test Pattern Generator Using LFSR B.Saritha M.Tech Student, Department of ECE, Dhruva Institue of Engineering & Technology. Abstract: A new fault coverage test pattern generator

More information

VLSI System Testing. BIST Motivation

VLSI System Testing. BIST Motivation ECE 538 VLSI System Testing Krish Chakrabarty Built-In Self-Test (BIST): ECE 538 Krish Chakrabarty BIST Motivation Useful for field test and diagnosis (less expensive than a local automatic test equipment)

More information

More on Flip-Flops Digital Design and Computer Architecture: ARM Edition 2015 Chapter 3 <98> 98

More on Flip-Flops Digital Design and Computer Architecture: ARM Edition 2015 Chapter 3 <98> 98 More on Flip-Flops Digital Design and Computer Architecture: ARM Edition 2015 Chapter 3 98 Review: Bit Storage SR latch S (set) Q R (reset) Level-sensitive SR latch S S1 C R R1 Q D C S R D latch Q

More information

Design for Test. Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective.

Design for Test. Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective. Design for Test Definition: Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective. Types: Design for Testability Enhanced access Built-In

More information

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Final Examination CLOSED BOOK

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Final Examination CLOSED BOOK Department of Electrical and Computer Engineering University of Wisconsin Madison Fall 2014-2015 Final Examination CLOSED BOOK Kewal K. Saluja Date: December 14, 2014 Place: Room 3418 Engineering Hall

More information

Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky,

Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky, Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky, tomott}@berkeley.edu Abstract With the reduction of feature sizes, more sources

More information

Long and Fast Up/Down Counters Pushpinder Kaur CHOUHAN 6 th Jan, 2003

Long and Fast Up/Down Counters Pushpinder Kaur CHOUHAN 6 th Jan, 2003 1 Introduction Long and Fast Up/Down Counters Pushpinder Kaur CHOUHAN 6 th Jan, 2003 Circuits for counting both forward and backward events are frequently used in computers and other digital systems. Digital

More information

12-bit Wallace Tree Multiplier CMPEN 411 Final Report Matthew Poremba 5/1/2009

12-bit Wallace Tree Multiplier CMPEN 411 Final Report Matthew Poremba 5/1/2009 12-bit Wallace Tree Multiplier CMPEN 411 Final Report Matthew Poremba 5/1/2009 Project Overview This project was originally titled Fast Fourier Transform Unit, but due to space and time constraints, the

More information

Overview: Logic BIST

Overview: Logic BIST VLSI Design Verification and Testing Built-In Self-Test (BIST) - 2 Mohammad Tehranipoor Electrical and Computer Engineering University of Connecticut 23 April 2007 1 Overview: Logic BIST Motivation Built-in

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET476) Lecture 9 (2) Built-In-Self Test (Chapter 5) Said Hamdioui Computer Engineering Lab Delft University of Technology 29-2 Learning aims Describe the concept and

More information

CSE 352 Laboratory Assignment 3

CSE 352 Laboratory Assignment 3 CSE 352 Laboratory Assignment 3 Introduction to Registers The objective of this lab is to introduce you to edge-trigged D-type flip-flops as well as linear feedback shift registers. Chapter 3 of the Harris&Harris

More information

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits N.Brindha, A.Kaleel Rahuman ABSTRACT: Auto scan, a design for testability (DFT) technique for synchronous sequential circuits.

More information

Chapter 5 Flip-Flops and Related Devices

Chapter 5 Flip-Flops and Related Devices Chapter 5 Flip-Flops and Related Devices Chapter 5 Objectives Selected areas covered in this chapter: Constructing/analyzing operation of latch flip-flops made from NAND or NOR gates. Differences of synchronous/asynchronous

More information

Instructions. Final Exam CPSC/ELEN 680 December 12, Name: UIN:

Instructions. Final Exam CPSC/ELEN 680 December 12, Name: UIN: Final Exam CPSC/ELEN 680 December 12, 2005 Name: UIN: Instructions This exam is closed book. Provide brief but complete answers to the following questions in the space provided, using figures as necessary.

More information

for Digital IC's Design-for-Test and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ

for Digital IC's Design-for-Test and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ Design-for-Test for Digital IC's and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ 07458 www.phptr.com ISBN D-13-DflMfla7-l : Ml H Contents Preface Acknowledgments Introduction

More information

Using on-chip Test Pattern Compression for Full Scan SoC Designs

Using on-chip Test Pattern Compression for Full Scan SoC Designs Using on-chip Test Pattern Compression for Full Scan SoC Designs Helmut Lang Senior Staff Engineer Jens Pfeiffer CAD Engineer Jeff Maguire Principal Staff Engineer Motorola SPS, System-on-a-Chip Design

More information

K.T. Tim Cheng 07_dft, v Testability

K.T. Tim Cheng 07_dft, v Testability K.T. Tim Cheng 07_dft, v1.0 1 Testability Is concept that deals with costs associated with testing. Increase testability of a circuit Some test cost is being reduced Test application time Test generation

More information

Efficient Architecture for Flexible Prescaler Using Multimodulo Prescaler

Efficient Architecture for Flexible Prescaler Using Multimodulo Prescaler Efficient Architecture for Flexible Using Multimodulo G SWETHA, S YUVARAJ Abstract This paper, An Efficient Architecture for Flexible Using Multimodulo is an architecture which is designed from the proposed

More information

Page 1 of 6 Follow these guidelines to design testable ASICs, boards, and systems. (includes related article on automatic testpattern generation basics) (Tutorial) From: EDN Date: August 19, 1993 Author:

More information

Retiming Sequential Circuits for Low Power

Retiming Sequential Circuits for Low Power Retiming Sequential Circuits for Low Power José Monteiro, Srinivas Devadas Department of EECS MIT, Cambridge, MA Abhijit Ghosh Mitsubishi Electric Research Laboratories Sunnyvale, CA Abstract Switching

More information

UNIT IV CMOS TESTING. EC2354_Unit IV 1

UNIT IV CMOS TESTING. EC2354_Unit IV 1 UNIT IV CMOS TESTING EC2354_Unit IV 1 Outline Testing Logic Verification Silicon Debug Manufacturing Test Fault Models Observability and Controllability Design for Test Scan BIST Boundary Scan EC2354_Unit

More information

At-speed Testing of SOC ICs

At-speed Testing of SOC ICs At-speed Testing of SOC ICs Vlado Vorisek, Thomas Koch, Hermann Fischer Multimedia Design Center, Semiconductor Products Sector Motorola Munich, Germany Abstract This paper discusses the aspects and associated

More information

TKK S ASIC-PIIRIEN SUUNNITTELU

TKK S ASIC-PIIRIEN SUUNNITTELU Design TKK S-88.134 ASIC-PIIRIEN SUUNNITTELU Design Flow 3.2.2005 RTL Design 10.2.2005 Implementation 7.4.2005 Contents 1. Terminology 2. RTL to Parts flow 3. Logic synthesis 4. Static Timing Analysis

More information

Chapter 2. Digital Circuits

Chapter 2. Digital Circuits Chapter 2. Digital Circuits Logic gates Flip-flops FF registers IC registers Data bus Encoders/Decoders Multiplexers Troubleshooting digital circuits Most contents of this chapter were covered in 88-217

More information

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath Objectives Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath In the previous chapters we have studied how to develop a specification from a given application, and

More information

Electrical & Computer Engineering ECE 491. Introduction to VLSI. Report 1

Electrical & Computer Engineering ECE 491. Introduction to VLSI. Report 1 Electrical & Computer Engineering ECE 491 Introduction to VLSI Report 1 Marva` Morrow INTRODUCTION Flip-flops are synchronous bistable devices (multivibrator) that operate as memory elements. A bistable

More information

Testing Sequential Logic. CPE/EE 428/528 VLSI Design II Intro to Testing (Part 2) Testing Sequential Logic (cont d) Testing Sequential Logic (cont d)

Testing Sequential Logic. CPE/EE 428/528 VLSI Design II Intro to Testing (Part 2) Testing Sequential Logic (cont d) Testing Sequential Logic (cont d) Testing Sequential Logic CPE/EE 428/528 VLSI Design II Intro to Testing (Part 2) Electrical and Computer Engineering University of Alabama in Huntsville In general, much more difficult than testing combinational

More information

Asynchronous (Ripple) Counters

Asynchronous (Ripple) Counters Circuits for counting events are frequently used in computers and other digital systems. Since a counter circuit must remember its past states, it has to possess memory. The chapter about flip-flops introduced

More information

IT T35 Digital system desigm y - ii /s - iii

IT T35 Digital system desigm y - ii /s - iii UNIT - III Sequential Logic I Sequential circuits: latches flip flops analysis of clocked sequential circuits state reduction and assignments Registers and Counters: Registers shift registers ripple counters

More information

Synchronous Sequential Logic

Synchronous Sequential Logic Synchronous Sequential Logic Ranga Rodrigo August 2, 2009 1 Behavioral Modeling Behavioral modeling represents digital circuits at a functional and algorithmic level. It is used mostly to describe sequential

More information

Counter dan Register

Counter dan Register Counter dan Register Introduction Circuits for counting events are frequently used in computers and other digital systems. Since a counter circuit must remember its past states, it has to possess memory.

More information

Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA

Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA M.V.M.Lahari 1, M.Mani Kumari 2 1,2 Department of ECE, GVPCEOW,Visakhapatnam. Abstract The increasing growth of sub-micron

More information

Design for Testability

Design for Testability TDTS 01 Lecture 9 Design for Testability Zebo Peng Embedded Systems Laboratory IDA, Linköping University Lecture 9 The test problems Fault modeling Design for testability techniques Zebo Peng, IDA, LiTH

More information

CMOS Testing-2. Design for testability (DFT) Design and Test Flow: Old View Test was merely an afterthought. Specification. Design errors.

CMOS Testing-2. Design for testability (DFT) Design and Test Flow: Old View Test was merely an afterthought. Specification. Design errors. Design and test CMOS Testing- Design for testability (DFT) Scan design Built-in self-test IDDQ testing ECE 261 Krish Chakrabarty 1 Design and Test Flow: Old View Test was merely an afterthought Specification

More information

Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction

Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction Low Illinois Scan Architecture for Simultaneous and Test Data Volume Anshuman Chandra, Felix Ng and Rohit Kapur Synopsys, Inc., 7 E. Middlefield Rd., Mountain View, CA Abstract We present Low Illinois

More information

Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test

Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test Mark McDermott Electrical and Computer Engineering The University of Texas at Austin Agenda Introduction to testing Logical

More information

YEDITEPE UNIVERSITY DEPARTMENT OF COMPUTER ENGINEERING. EXPERIMENT VIII: FLIP-FLOPS, COUNTERS 2014 Fall

YEDITEPE UNIVERSITY DEPARTMENT OF COMPUTER ENGINEERING. EXPERIMENT VIII: FLIP-FLOPS, COUNTERS 2014 Fall YEDITEPE UNIVERSITY DEPARTMENT OF COMPUTER ENGINEERING EXPERIMENT VIII: FLIP-FLOPS, COUNTERS 2014 Fall Objective: - Dealing with the operation of simple sequential devices. Learning invalid condition in

More information

EEC 116 Fall 2011 Lab #5: Pipelined 32b Adder

EEC 116 Fall 2011 Lab #5: Pipelined 32b Adder EEC 116 Fall 2011 Lab #5: Pipelined 32b Adder Dept. of Electrical and Computer Engineering University of California, Davis Issued: November 2, 2011 Due: November 16, 2011, 4PM Reading: Rabaey Sections

More information

Unit V Design for Testability

Unit V Design for Testability Unit V Design for Testability Outline Testing Logic Verification Silicon Debug Manufacturing Test Fault Models Observability and Controllability Design for Test Scan BIST Boundary Scan Slide 2 Testing

More information

NH 67, Karur Trichy Highways, Puliyur C.F, Karur District UNIT-III SEQUENTIAL CIRCUITS

NH 67, Karur Trichy Highways, Puliyur C.F, Karur District UNIT-III SEQUENTIAL CIRCUITS NH 67, Karur Trichy Highways, Puliyur C.F, 639 114 Karur District DEPARTMENT OF ELETRONICS AND COMMUNICATION ENGINEERING COURSE NOTES SUBJECT: DIGITAL ELECTRONICS CLASS: II YEAR ECE SUBJECT CODE: EC2203

More information

Computer Systems Architecture

Computer Systems Architecture Computer Systems Architecture Fundamentals Of Digital Logic 1 Our Goal Understand Fundamentals and basics Concepts How computers work at the lowest level Avoid whenever possible Complexity Implementation

More information

LFSR Counter Implementation in CMOS VLSI

LFSR Counter Implementation in CMOS VLSI LFSR Counter Implementation in CMOS VLSI Doshi N. A., Dhobale S. B., and Kakade S. R. Abstract As chip manufacturing technology is suddenly on the threshold of major evaluation, which shrinks chip in size

More information

ECE321 Electronics I

ECE321 Electronics I ECE321 Electronics I Lecture 25: Sequential Logic: Flip-flop Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Tuesday 2:00-3:00PM or by appointment E-mail: pzarkesh.unm.edu Slide: 1 Review of Last

More information

Static Timing Analysis for Nanometer Designs

Static Timing Analysis for Nanometer Designs J. Bhasker Rakesh Chadha Static Timing Analysis for Nanometer Designs A Practical Approach 4y Spri ringer Contents Preface xv CHAPTER 1: Introduction / 1.1 Nanometer Designs 1 1.2 What is Static Timing

More information

Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow

Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow Bradley R. Quinton*, Mark R. Greenstreet, Steven J.E. Wilton*, *Dept. of Electrical and Computer Engineering, Dept.

More information

Implementation of BIST Test Generation Scheme based on Single and Programmable Twisted Ring Counters

Implementation of BIST Test Generation Scheme based on Single and Programmable Twisted Ring Counters IOSR Journal of Mechanical and Civil Engineering (IOSR-JMCE) e-issn: 2278-1684, p-issn: 2320-334X Implementation of BIST Test Generation Scheme based on Single and Programmable Twisted Ring Counters N.Dilip

More information

Chapter 10 Exercise Solutions

Chapter 10 Exercise Solutions VLSI Test Principles and Architectures Ch. 10 oundary Scan & Core-ased Testing P. 1/10 Chapter 10 Exercise Solutions 10.1 The following is just an example for testing chips and interconnects on a board.

More information

Experiment 8 Introduction to Latches and Flip-Flops and registers

Experiment 8 Introduction to Latches and Flip-Flops and registers Experiment 8 Introduction to Latches and Flip-Flops and registers Introduction: The logic circuits that have been used until now were combinational logic circuits since the output of the device depends

More information

Counters

Counters Counters A counter is the most versatile and useful subsystems in the digital system. A counter driven by a clock can be used to count the number of clock cycles. Since clock pulses occur at known intervals,

More information

ECE 715 System on Chip Design and Test. Lecture 22

ECE 715 System on Chip Design and Test. Lecture 22 ECE 75 System on Chip Design and Test Lecture 22 Response Compaction Severe amounts of data in CUT response to LFSR patterns example: Generate 5 million random patterns CUT has 2 outputs Leads to: 5 million

More information

A New Approach to Design Fault Coverage Circuit with Efficient Hardware Utilization for Testing Applications

A New Approach to Design Fault Coverage Circuit with Efficient Hardware Utilization for Testing Applications A New Approach to Design Fault Coverage Circuit with Efficient Hardware Utilization for Testing Applications S. Krishna Chaitanya Department of Electronics & Communication Engineering, Hyderabad Institute

More information

Chapter 4. Logic Design

Chapter 4. Logic Design Chapter 4 Logic Design 4.1 Introduction. In previous Chapter we studied gates and combinational circuits, which made by gates (AND, OR, NOT etc.). That can be represented by circuit diagram, truth table

More information

DESIGN OF LOW POWER TEST PATTERN GENERATOR

DESIGN OF LOW POWER TEST PATTERN GENERATOR International Journal of Electronics, Communication & Instrumentation Engineering Research and Development (IJECIERD) ISSN(P): 2249-684X; ISSN(E): 2249-7951 Vol. 4, Issue 1, Feb 2014, 59-66 TJPRC Pvt.

More information

Peak Dynamic Power Estimation of FPGA-mapped Digital Designs

Peak Dynamic Power Estimation of FPGA-mapped Digital Designs Peak Dynamic Power Estimation of FPGA-mapped Digital Designs Abstract The Peak Dynamic Power Estimation (P DP E) problem involves finding input vector pairs that cause maximum power dissipation (maximum

More information

A Briefing on IEEE Standard Test Access Port And Boundary-Scan Architecture ( AKA JTAG )

A Briefing on IEEE Standard Test Access Port And Boundary-Scan Architecture ( AKA JTAG ) A Briefing on IEEE 1149.1 1990 Standard Test Access Port And Boundary-Scan Architecture ( AKA JTAG ) Summary With the advent of large Ball Grid Array (BGA) and fine pitch SMD semiconductor devices the

More information

11. Sequential Elements

11. Sequential Elements 11. Sequential Elements Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 October 11, 2017 ECE Department, University of Texas at Austin

More information

2.6 Reset Design Strategy

2.6 Reset Design Strategy 2.6 Reset esign Strategy Many design issues must be considered before choosing a reset strategy for an ASIC design, such as whether to use synchronous or asynchronous resets, will every flipflop receive

More information

Notes on Digital Circuits

Notes on Digital Circuits PHYS 331: Junior Physics Laboratory I Notes on Digital Circuits Digital circuits are collections of devices that perform logical operations on two logical states, represented by voltage levels. Standard

More information

High Performance Dynamic Hybrid Flip-Flop For Pipeline Stages with Methodical Implanted Logic

High Performance Dynamic Hybrid Flip-Flop For Pipeline Stages with Methodical Implanted Logic High Performance Dynamic Hybrid Flip-Flop For Pipeline Stages with Methodical Implanted Logic K.Vajida Tabasum, K.Chandra Shekhar Abstract-In this paper we introduce a new high performance dynamic hybrid

More information

Report on 4-bit Counter design Report- 1, 2. Report on D- Flipflop. Course project for ECE533

Report on 4-bit Counter design Report- 1, 2. Report on D- Flipflop. Course project for ECE533 Report on 4-bit Counter design Report- 1, 2. Report on D- Flipflop Course project for ECE533 I. Objective: REPORT-I The objective of this project is to design a 4-bit counter and implement it into a chip

More information

Based on slides/material by. Topic 14. Testing. Testing. Logic Verification. Recommended Reading:

Based on slides/material by. Topic 14. Testing. Testing. Logic Verification. Recommended Reading: Based on slides/material by Topic 4 Testing Peter Y. K. Cheung Department of Electrical & Electronic Engineering Imperial College London!! K. Masselos http://cas.ee.ic.ac.uk/~kostas!! J. Rabaey http://bwrc.eecs.berkeley.edu/classes/icbook/instructors.html

More information

Flip-Flops. Because of this the state of the latch may keep changing in circuits with feedback as long as the clock pulse remains active.

Flip-Flops. Because of this the state of the latch may keep changing in circuits with feedback as long as the clock pulse remains active. Flip-Flops Objectives The objectives of this lesson are to study: 1. Latches versus Flip-Flops 2. Master-Slave Flip-Flops 3. Timing Analysis of Master-Slave Flip-Flops 4. Different Types of Master-Slave

More information

Design of a Low Power Four-Bit Binary Counter Using Enhancement Type Mosfet

Design of a Low Power Four-Bit Binary Counter Using Enhancement Type Mosfet Design of a Low Power Four-Bit Binary Counter Using Enhancement Type Mosfet Praween Sinha Department of Electronics & Communication Engineering Maharaja Agrasen Institute Of Technology, Rohini sector -22,

More information

A Novel Low Power pattern Generation Technique for Concurrent Bist Architecture

A Novel Low Power pattern Generation Technique for Concurrent Bist Architecture A Novel Low Power pattern Generation Technique for Concurrent Bist Architecture Y. Balasubrahamanyam, G. Leenendra Chowdary, T.J.V.S.Subrahmanyam Research Scholar, Dept. of ECE, Sasi institute of Technology

More information

Unit 8: Testability. Prof. Roopa Kulkarni, GIT, Belgaum. 29

Unit 8: Testability. Prof. Roopa Kulkarni, GIT, Belgaum. 29 Unit 8: Testability Objective: At the end of this unit we will be able to understand Design for testability (DFT) DFT methods for digital circuits: Ad-hoc methods Structured methods: Scan Level Sensitive

More information

SEQUENTIAL LOGIC. Satish Chandra Assistant Professor Department of Physics P P N College, Kanpur

SEQUENTIAL LOGIC. Satish Chandra Assistant Professor Department of Physics P P N College, Kanpur SEQUENTIAL LOGIC Satish Chandra Assistant Professor Department of Physics P P N College, Kanpur www.satish0402.weebly.com OSCILLATORS Oscillators is an amplifier which derives its input from output. Oscillators

More information

Laboratory 1 - Introduction to Digital Electronics and Lab Equipment (Logic Analyzers, Digital Oscilloscope, and FPGA-based Labkit)

Laboratory 1 - Introduction to Digital Electronics and Lab Equipment (Logic Analyzers, Digital Oscilloscope, and FPGA-based Labkit) Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science 6. - Introductory Digital Systems Laboratory (Spring 006) Laboratory - Introduction to Digital Electronics

More information

DEDICATED TO EMBEDDED SOLUTIONS

DEDICATED TO EMBEDDED SOLUTIONS DEDICATED TO EMBEDDED SOLUTIONS DESIGN SAFE FPGA INTERNAL CLOCK DOMAIN CROSSINGS ESPEN TALLAKSEN DATA RESPONS SCOPE Clock domain crossings (CDC) is probably the worst source for serious FPGA-bugs that

More information

WINTER 15 EXAMINATION Model Answer

WINTER 15 EXAMINATION Model Answer Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme. 2) The model answer and the answer written by candidate

More information

Digital Integrated Circuits Lecture 19: Design for Testability

Digital Integrated Circuits Lecture 19: Design for Testability Digital Integrated Circuits Lecture 19: Design for Testability Chih-Wei Liu VLSI Signal Processing LAB National Chiao Tung University cwliu@twins.ee.nctu.edu.tw DIC-Lec19 cwliu@twins.ee.nctu.edu.tw 1 Outline

More information

Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory. National Central University

Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory. National Central University Chapter 3 Basics of VLSI Testing (2) Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory Department of Electrical Engineering National Central University Jhongli, Taiwan Outline Testing Process Fault

More information

Section 24. Programming and Diagnostics

Section 24. Programming and Diagnostics Section. and Diagnostics HIGHLIGHTS This section of the manual contains the following topics:.1 Introduction... -2.2 In-Circuit Serial... -2.3 Enhanced In-Circuit Serial... -5.4 JTAG Boundary Scan... -6.5

More information

Introduction. Serial In - Serial Out Shift Registers (SISO)

Introduction. Serial In - Serial Out Shift Registers (SISO) Introduction Shift registers are a type of sequential logic circuit, mainly for storage of digital data. They are a group of flip-flops connected in a chain so that the output from one flip-flop becomes

More information

Digital Systems Laboratory 3 Counters & Registers Time 4 hours

Digital Systems Laboratory 3 Counters & Registers Time 4 hours Digital Systems Laboratory 3 Counters & Registers Time 4 hours Aim: To investigate the counters and registers constructed from flip-flops. Introduction: In the previous module, you have learnt D, S-R,

More information

Computer Organization & Architecture Lecture #5

Computer Organization & Architecture Lecture #5 Computer Organization & Architecture Lecture #5 Shift Register A shift register is a register in which binary data can be stored and then shifted left or right when a shift signal is applied. Bits shifted

More information

Metastability Analysis of Synchronizer

Metastability Analysis of Synchronizer Forn International Journal of Scientific Research in Computer Science and Engineering Research Paper Vol-1, Issue-3 ISSN: 2320 7639 Metastability Analysis of Synchronizer Ankush S. Patharkar *1 and V.

More information

COPY RIGHT. To Secure Your Paper As Per UGC Guidelines We Are Providing A Electronic Bar Code

COPY RIGHT. To Secure Your Paper As Per UGC Guidelines We Are Providing A Electronic Bar Code COPY RIGHT 2018IJIEMR.Personal use of this material is permitted. Permission from IJIEMR must be obtained for all other uses, in any current or future media, including reprinting/republishing this material

More information

Lecture 8: Sequential Logic

Lecture 8: Sequential Logic Lecture 8: Sequential Logic Last lecture discussed how we can use digital electronics to do combinatorial logic we designed circuits that gave an immediate output when presented with a given set of inputs

More information

Nanometer Technology Designs High-Quality Delay Tests

Nanometer Technology Designs High-Quality Delay Tests Nanometer Technology Designs High-Quality Delay Tests Mohammad Tehranipoor Nisar Ahmed Nanometer Technology Designs High-Quality Delay Tests Mohammad Tehranipoor University of Connecticut Electrical and

More information

A Novel Framework for Faster-than-at-Speed Delay Test Considering IR-drop Effects

A Novel Framework for Faster-than-at-Speed Delay Test Considering IR-drop Effects A Novel Framework for Faster-than-at-Speed Delay Test Considering IR-drop Effects Abstract Nisar Ahmed, Mohammad Tehranipoor Dept. of Electrical & Computer Engineering University of Connecticut tehrani@engr.uconn.edu

More information

Combinational vs Sequential

Combinational vs Sequential Combinational vs Sequential inputs X Combinational Circuits outputs Z A combinational circuit: At any time, outputs depends only on inputs Changing inputs changes outputs No regard for previous inputs

More information

Testability: Lecture 23 Design for Testability (DFT) Slide 1 of 43

Testability: Lecture 23 Design for Testability (DFT) Slide 1 of 43 Testability: Lecture 23 Design for Testability (DFT) Shaahin hi Hessabi Department of Computer Engineering Sharif University of Technology Adapted, with modifications, from lecture notes prepared p by

More information

University College of Engineering, JNTUK, Kakinada, India Member of Technical Staff, Seerakademi, Hyderabad

University College of Engineering, JNTUK, Kakinada, India Member of Technical Staff, Seerakademi, Hyderabad Power Analysis of Sequential Circuits Using Multi- Bit Flip Flops Yarramsetti Ramya Lakshmi 1, Dr. I. Santi Prabha 2, R.Niranjan 3 1 M.Tech, 2 Professor, Dept. of E.C.E. University College of Engineering,

More information

Figure 30.1a Timing diagram of the divide by 60 minutes/seconds counter

Figure 30.1a Timing diagram of the divide by 60 minutes/seconds counter Digital Clock The timing diagram figure 30.1a shows the time interval t 6 to t 11 and t 19 to t 21. At time interval t 9 the units counter counts to 1001 (9) which is the terminal count of the 74x160 decade

More information

DIGITAL SYSTEM FUNDAMENTALS (ECE421) DIGITAL ELECTRONICS FUNDAMENTAL (ECE422) COUNTERS

DIGITAL SYSTEM FUNDAMENTALS (ECE421) DIGITAL ELECTRONICS FUNDAMENTAL (ECE422) COUNTERS COURSE / CODE DIGITAL SYSTEM FUNDAMENTALS (ECE421) DIGITAL ELECTRONICS FUNDAMENTAL (ECE422) COUNTERS One common requirement in digital circuits is counting, both forward and backward. Digital clocks and

More information

Testing Digital Systems II

Testing Digital Systems II Testing Digital Systems II Lecture 5: Built-in Self Test (I) Instructor: M. Tahoori Copyright 2010, M. Tahoori TDS II: Lecture 5 1 Outline Introduction (Lecture 5) Test Pattern Generation (Lecture 5) Pseudo-Random

More information

Controlling Peak Power During Scan Testing

Controlling Peak Power During Scan Testing Controlling Peak Power During Scan Testing Ranganathan Sankaralingam and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering University of Texas, Austin,

More information

1. Convert the decimal number to binary, octal, and hexadecimal.

1. Convert the decimal number to binary, octal, and hexadecimal. 1. Convert the decimal number 435.64 to binary, octal, and hexadecimal. 2. Part A. Convert the circuit below into NAND gates. Insert or remove inverters as necessary. Part B. What is the propagation delay

More information

Tools to Debug Dead Boards

Tools to Debug Dead Boards Tools to Debug Dead Boards Hardware Prototype Bring-up Ryan Jones Senior Application Engineer Corelis 1 Boundary-Scan Without Boundaries click to start the show Webinar Outline What is a Dead Board? Prototype

More information

DELAY TEST SCAN FLIP-FLOP (DTSFF) DESIGN AND ITS APPLICATIONS FOR SCAN BASED DELAY TESTING

DELAY TEST SCAN FLIP-FLOP (DTSFF) DESIGN AND ITS APPLICATIONS FOR SCAN BASED DELAY TESTING DELAY TEST SCAN FLIP-FLOP (DTSFF) DESIGN AND ITS APPLICATIONS FOR SCAN BASED DELAY TESTING Except where reference is made to the work of others, the work described in this dissertation is my own or was

More information

Previous Lecture Sequential Circuits. Slide Summary of contents covered in this lecture. (Refer Slide Time: 01:55)

Previous Lecture Sequential Circuits. Slide Summary of contents covered in this lecture. (Refer Slide Time: 01:55) Previous Lecture Sequential Circuits Digital VLSI System Design Prof. S. Srinivasan Department of Electrical Engineering Indian Institute of Technology, Madras Lecture No 7 Sequential Circuit Design Slide

More information

A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY

A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY Ms. Chaitali V. Matey 1, Ms. Shraddha K. Mendhe 2, Mr. Sandip A.

More information

Guidance For Scrambling Data Signals For EMC Compliance

Guidance For Scrambling Data Signals For EMC Compliance Guidance For Scrambling Data Signals For EMC Compliance David Norte, PhD. Abstract s can be used to help mitigate the radiated emissions from inherently periodic data signals. A previous paper [1] described

More information

Introduction. NAND Gate Latch. Digital Logic Design 1 FLIP-FLOP. Digital Logic Design 1

Introduction. NAND Gate Latch.  Digital Logic Design 1 FLIP-FLOP. Digital Logic Design 1 2007 Introduction BK TP.HCM FLIP-FLOP So far we have seen Combinational Logic The output(s) depends only on the current values of the input variables Here we will look at Sequential Logic circuits The

More information

Logic Design. Flip Flops, Registers and Counters

Logic Design. Flip Flops, Registers and Counters Logic Design Flip Flops, Registers and Counters Introduction Combinational circuits: value of each output depends only on the values of inputs Sequential Circuits: values of outputs depend on inputs and

More information

JRC ( JTAG Route Controller ) Data Sheet

JRC ( JTAG Route Controller ) Data Sheet JRC ( JTAG Route Controller ) Data Sheet ATLAS TGC Electronics Group September 5, 2002 (version 1.1) Author : Takashi Takemoto Feature * JTAG signal router with two inputs and seven outputs. * Routing

More information