Logic BIST for Large Industrial Designs: Real Issues and Case Studies

Size: px
Start display at page:

Download "Logic BIST for Large Industrial Designs: Real Issues and Case Studies"

Transcription

1 Logic BIST for Large Industrial Designs: Real Issues and Case Studies Graham Hetherington and Tony Fryars Nagesh Tamarapalli, Mark Kassab, Abu Hassan, and Janusz Rajski Texas Instruments, Ltd. Mentor Graphics Corporation 800 Pavilion Drive 8005 S.W. Boeckman Road Northampton, UK NN4 7YL Wilsonville, OR 97070, USA Abstract This paper discusses practical issues involved in applying logic built-in self-test (BIST) to four large industrial designs. These multi-clock designs, ranging in size from 200K to 800K gates, pose significant challenges to logic BIST methodology, flow, and tools. The paper presents the process of generating a BIST-compliant core along with the logic BIST controller for at-speed testing. Comparative data on fault grades and area overhead between automatic test pattern generation (ATPG) and logic BIST are reported. The experimental results demonstrate that with automation of the proposed solutions, logic BIST can achieve test quality approaching that of ATPG with minimal area overhead and few changes to the design flow. I. INTRODUCTION Most large application-specific integrated circuits (ASICs) use scan as a fundamental design for test (DFT) methodology. It has been observed that the amount of test data required to test one gate in a large design can exceed 1 Kb. This depends on several factors, such as the design style, fault models used, and capabilities of the automatic test pattern generation (ATPG) tool used. However, even using state-of-the-art ATPG tools, several gigabits of test data may be required for a multi-million gate design. Testers have a limited number of channels designed to drive scan chains, typically around 8. The speed of loading is also limited by the maximum scan frequency, usually around 10 to 50 MHz. The large volume of test data creates two problems for testers: capacity and test application time. Very often, testers do not have enough memory to store the entire test set to cover stuck-at, transition, and path delay faults. In some cases, the available memory is not even large enough to store a complete test set for stuck-at faults. In this case, either very time consuming reloads are required, or only a subset of the test vectors is applied with the corresponding reduction of fault coverage. The volume of test data directly impacts the test application time. The increasingly large volume of test data and limited throughput of the scan interface between the tester and the design creates a bottleneck. Even today, test application time can be several seconds. The cost of tester time is typically 25 to 50 cents per second. For high-end testers used in testing state-of-the-art microprocessors, it has been reported that the tester amortization cost could as high as $6000 per hour [1]. Note that manufacturing test is applied to every device multiple times, at different voltage levels, at the wafer, packaged device, etc. The manufacturing test cost is incurred for every manufactured device and might be as high as 25-30% of the total manufacturing cost. Logic built-in self-test (BIST) is based on scan as the fundamental DFT methodology [2,3,4,5]. Initially, the predominant compelling reason for the adoption of BIST was the requirement to perform in-field testing. Recently, there has been growing interest in BIST as it can reduce the cost of manufacturing test as well as improve the quality of the test by providing at-speed testing capability. In BIST, pseudorandom patterns are generated on chip, the responses are compacted on chip, and the control signals are driven by an on-chip controller. The amount of test data exchanged with the tester is therefore drastically reduced. In addition, the scan cells are configured into a large number of relatively short scan chains, thus reducing the time required to apply a single test pattern. The low memory and performance requirements on the tester allows the usage of very low cost testers for manufacturing test of designs with logic BIST. Logic BIST is based on pseudorandom patterns and involves compaction of test responses. Those two characteristics impose more stringent design rules on the BISTed logic than scan with stored patterns. Logic BIST requires that bus conflicts are eliminated, sources of X states are properly bounded to prevent corruption of the signatures, the circuit is random-pattern testable, etc. In many cases, the original design does not satisfy many of these requirements, thus posing barriers to BIST. In those cases, and in general, the only practical way to implement BIST is through automation of the design tasks and their integration in the overall methodology and design flow. The introduction of logic BIST at the Texas Instruments MOS design center is driven by limitations of the currently-used test equipment and a number of specific goals. In particular, the testers currently used already limit the ability to run available tests in the following ways: 1. Scan operates at a maximum frequency of 50 MHz. 2. Tester scan memory is usually filled. 3. Tester has a maximum of 8 scan chains, resulting in a long test application time for large designs. 4. Tester functional test memory is also filled, leading to utilization of as little as 10% of the available functional tests ITC INTERNATIONAL TEST CONFERENCE /99 $ IEEE

2 5. Transition fault or path delay scan ATPG patterns are not used due to lack of tester memory. All these problems are constantly getting worse. They could be solved by investing in tester technology. However, logic BIST is an attractive alternative solution as it removes most of the tester limitations. Given the current design environment and ATPG practice, the following basic logic BIST goals were derived: G1. Eliminate tester memory and frequency limitations. G2. Solution provides at-speed scan testing. G3. Solution works for 1-2 million logic gate designs. G4. BIST stuck-at grade 95%. G5. Logic BIST area overhead 2% of logic. G6. Silicon BIST run time < 1 second. G7. Engineering effort < 2 person months per design. It is also important that logic BIST fits seamlessly into the current design process and that the overnight design synthesis time is not compromised, hence the following additional flow-related goals: G8. Ability to use ATPG or logic BIST tests. G9. Minimal impact on current design methodology. G10. Automation of the logic BIST flow. G11. Additional RTL-to-gates run time < 2 hours. G12. Logic BIST fault grade run time < 12 hours. G13. Logic BIST Ikos TM simulation time < 12 hours. G14. BIST can be run on a very low cost tester. In Section II, the logic BIST architecture is presented with particular emphasis on the controller and its ability to support multi-clock multi-frequency designs. Section III covers generation of the BIST-ready core including insertion of test points, bounding of X generators, and handling of primary inputs and outputs. Section IV is devoted to detailed presentation of four case studies. Finally, conclusions are presented in Section V. II. LOGIC BIST ARCHITECTURE A. Generic scan based logic BIST architecture A generic single clock logic BIST architecture based on the well known STUMPS technique [6] is illustrated in Figure 1. The figure depicts the circuit-under-test or core, and the logic BIST controller in the highlighted area. The circuit is composed of combinational logic, and possibly embedded memories, separated by multiple scan chains. Various components of the logic BIST controller are shown in the highlighted area. These components include test pattern generation block - composed of the pseudo-random pattern generator (PRPG) and phase shifter circuit, the output response analysis block - composed of multipleinput signature register (MISR), space compactor, and optional AND gates. In addition, there are two counters: the pattern counter, and the shift counter which for each pattern keeps track of the number of cycles required to fill the scan chains. The decoder block shown in the figure drives the test points. Finally, the multiplexers between the phase shifter and scan inputs are used to concatenate several shallow BIST-mode scan chains into a few deep ATPG-mode scan chains accessed directly from the chip pins in case top-up ATPG is used to improve the fault coverage obtained by BIST. PRPG Clock Phase shifter BIST mode Scan chain 1 Scan chain 2 Scan chain N Scan enable Pattern counter Shift counter Decoder Mask Figure 1: Generic scan-based logic BIST architecture. The BIST can be initiated either through a boundary scan TAP controller or by appropriately asserting a set of new primary inputs in case a stand-alone mode logic BIST controller is implemented. Prior to running the actual test, the controller components such as PRPG, MISR and the pattern counter need to be initialized. In addition, the internal scan chains can also be optionally initialized. The actual test of the circuit consisting of several patterns then begins. For each pattern the shift counter counts ( N SC + N CC ) cycles where N SC, the number of cycles in the shift window is equal to the length of the longest scan chain and N CC, the number of cycles in capture window is typically equal to one for a simple capture window. Hence in order to reduce the test application time it is necessary to configure the scan cells into a large number of shallow scan chains. A systematically designed phase shifter circuit [6,7] is placed between the LFSR and the scan chain inputs to eliminate structural dependencies and allow a large number of scan chains to be driven by a relatively short LFSR. Similarly an XOR structure called space compactor is required to compact the large number of scan outputs before feeding them to a small MISR. As with the phase shifter care must be taken in designing the space compactor to avoid loss of test coverage due to fault masking. During the shift window of a pattern, new pseudo-random values from the PRPG are loaded into the scan chains while simultaneously unloading and compacting the circuit s response for the previous pattern into the MISR. In case the internal scan chains are not initialized, for the first pattern, their unknown contents can be blocked as shown in Figure 1 by means of AND gates in front of the MISR. After the scan chains are completely loaded, the multiplexers in the scan cells are placed in system mode for one cycle to capture the circuit s response. This sequence of events continues for each pattern. In addition, if multi- Space compactor MISR 35 9

3 phase test scheme is used [8], at the beginning of each new test phase, the test points decoder establishes a pre-determined set of values at the control points that remain fixed for that phase. Once all the test phases are applied, the contents of the MISR, i.e. the signature, can be either scanned out and compared externally or compared with an on-chip reference signature to determine the status of the circuit. B. Multi-clock logic BIST scheme This section discusses an at-speed, multi-clock, multifrequency logic BIST scheme that tests logic in every clock domain as well as between domains at their respective system speed. The speed of scan loading is separated from the speed of circuit operation to provide a simple mechanism to control power dissipation during BIST and to reduce the impact on scan chain design. A programmable capture window allows capture in interacting domains to take place at different times to eliminate the clock skew problem. The scheme presented in this section is the subject of a patentpending invention [9]. Figure 2 illustrates a multi-frequency logic BIST scheme based on the STUMPS architecture. This figure, similar to the architecture shown in Figure 1, depicts two parts: the circuit-under-test and the logic BIST controller. However the circuit-under-test in this case has multiple scan chains operated by different clocks, possibly running at different frequencies. These frequencies can be sub-multiples of each other, for example F 1, F 2 = F 1 2, F 3 = F 1 4, or related but not sub-multiples, such as F 1, F 2 = F 1 3, F 3 = F 1 5, or totally unrelated with respect to each other, such as F 1 = 155 MHz, F 2 = 66 MHz, F 3 = 41 MHz. In the following, for the sake of simplicity, a logic BIST scheme for a circuit containing three clocks that are sub-multiples of each other is discussed. The proposed techniques can be just as easily applied to circuits containing related but non-sub-multiple clocks or totally unrelated clocks. The circuit in Figure 2 contains three scan chains SC 1, SC 2, and SC 3 operated by three different clocks clk 1, clk 2, and clk 3 respectively. In the normal system mode clk 1, clk 2, and clk 3 are driven by sys_clk 1, sys_clk 2, sys_clk 3 respectively, which are generated by means of an on-chip phase locked loop (PLL). The frequency F 2 and F 3 of clocks sys_clk 2 and sys_clk 3sys_clk1, respectively, is assumed to be half and quarter of that of frequency F 1. In the multi-frequency LBIST test, these functional clocks are modified in different ways, as explained in the following, to achieve an at-speed test. Each of three clock domains clk 1, clk 2, and clk 3 has a dedicated scan enable signal Sen 1, Sen 2 and Sen 3 respectively. The controller for multi-clock logic BIST contains, in addition to the components of the single-clock logic BIST controller, a micro-controller block to generate scan enable and clock control signals. The controller generates at-speed test through appropriate manipulation of clocks by means of clock suppression and multiplexing. The key idea behind the proposed scheme is to decouple the shift window from the capture window of a test pattern. It is shown that in order to achieve at-speed test of the circuit, unlike previous methods [10], it is not necessary to perform at-speed shift of the scan chains. In fact only events in the capture window are crucial to at-speed testing of the circuit. Clock control signals PLL sys_clk 1 sys_clk 2 sys_clk 3 sen 3 sen 2 sen 1 BIST controller clk 1 clk 2 clk 3 PRPG Phase shifter Space compactor MISR Figure 2: Multi-frequency logic BIST controller. The timing diagram shown in Figure 3 illustrates the partitioning of a test pattern into shift window and programmable capture window. The shift window is comprised of multiple shift operations required to load/unload the scan chains. These shift operations can be performed at a frequency of any of the three clocks or their sub-multiples. This freedom of selection of the shift frequency provides a trade-off between design of scan chains vs. the test application time. In the example timing diagram in Figure 3, scan chains are shifted at frequency F 2 of clock clk 2. Note that memory elements in scan chain SC 1 use the faster frequency F 1 during the functional operation. This frequency is reduced to F 2 in the shift window through clock suppression. Clock suppression in this case suppresses every other pulse of clock sys_clk 1 to generate slower clock clk 1 of frequency F 2. Scan chain SC 2 is clocked by clk 2 which is driven by sys_clk 2. Since the frequency of sys_clk 2 is F 2, no modification of this clock is necessary for the shift window. Finally, scan chain SC 3 is driven by a slower clock sys_clk 3 in the system mode. Clock multiplexing is used to drive clk3 with sys_clk 2 of frequency F 2 during the shift window. Timing diagram of clk 3 shows the effect of multiplexing in the faster clock. The programmable capture window comprises of captures in different clock domains and some shift operations to create inter-domain at-speed capture. The functional clock of each of the domains is used to obtain a shift followed by a capture. These two consecutive events using the functional clock guarantee that every intra-domain path can C S 1 C S 2 C S

4 Load-unload window: Vector N sys_clk 1 clk 1 sen 1 sys_clk 2 clk 2 sen 2 sys_clk 3 clk 3 sen 3 Figure 3: Multi-frequency logic BIST timing diagram. be tested at-speed; i.e., the time between the launch and capture events is equal to one functional clock period. Also to test inter-domain paths, at-speed clock edges are placed appropriately as shown in Figure 3. The exact placement of clock edges for at-speed test of all nine relations is detailed in Table I. Each table cell Tij [, ], corresponding to launch clock clk i and capture clock clk j, lists the position of the launch pulse of clk i followed by the capture pulse of clk j. All the positions are described in terms of pulses of the fastest clock sys_clk 1. For example, the capture edge for clk 3 is the rising edge of second clock pulse of clk 3 in the capture window, which is equivalent to the rising edge of the fifth clock pulse of sys_clk 1. As can be seen, in the capture window clock suppression is used to suppress some pulses of clk 1 and clk 2 while no pulses of clk 3 are suppressed. The scan enable signals for each of the clock domains switch to system mode prior to their respective capture edges. Since the scan enable signals have to be routed to all the scan cells in the circuit, their design constraints can be relaxed by opting for slow scan enables. In the example timing diagram shown in Figure 3, scan enable Sen 1 is designed to be fast, i.e. it has half-a-cycle of the fastest clock to settle whereas the scan enables Sen 2 and Sen 3 are designed to be slow, i.e. they have one-and-half-a-cycle of the fastest clock to settle. Table I: Edge placement for intra- and inter-domain at-speed test. Launch clock Programmable capture window: Vector N capture capture capture Capture clock clk1 clk2 clk3 clk clk clk One of the important advantages of the programmable capture window is the robustness against the clock skew. Figure 3 illustrates that whenever any clock domain is capturing data, other clock domains do not have an active edge. Thus, the capture edge, unlike in previously proposed solutions, is not susceptible to inter-domain clock skew. In addition, the capture window can be programmed to perform multiple captures in each domain as well as control slow scan enables. Performing multiple captures reduces the risk of delay test invalidation and false paths that might occur due to illegal states in scan chains resulting from filling them with pseudo-random values from the PRPG. Slow scan enables, by providing multiple cycles of the fastest clock for the scan enable signals to settle, reduce constraints on their design. They no longer need to be routed as clock signals. Note that programmability of capture window can also be used to handle a circuit containing multiple clock domains of the same frequency. In order to generate appropriate clock control and scan enable signals, the pattern and shift counters have to operate using the fastest clock. Also, unlike the previous controller, the number of cycles in the shift window N SC, is not necessarily equal to the length of the longest scan chain. N SC depends on the longest effective scan length as determined by the frequency used for shifting the scan chains. Similarly N CC, the number of fastest clock cycles in the capture window is usually more than one. On the completion of scan chain loading, a sequence of events is launched in the capture window to perform atspeed testing of intra- and inter-domain logic. Once a predetermined number of patterns are applied, the contents of the MISR can be, as explained earlier, scanned out and compared externally or compared with an on-chip golden signature. III. GENERATING A BIST-READY CIRCUIT In addition to having scan, a BIST-ready circuit should be random pattern testable and should have no unknown values propagating to observable points. In this section, those and other barriers to the implementation of logic BIST will be discussed and automated solutions to overcome them will be presented. A. Random-pattern resistance Logic BIST is in general based on pseudo-random patterns. Most circuits, however, have inherent random-pattern resistance, which results in relatively poor test coverage. To achieve test coverage approaching that of ATPG, control and observe points are added to the circuit to increase its susceptibility to random pattern testing. Control points A control point is inserted on a signal that has a very high probability of logic 0 or 1 if this predominant value causes poor controllability or observability of a sufficiently 36 1

5 large number of faults. Several types of control points are commonly used: 1. A MUX-type control point provides pseudo-random values while blocking propagation of any faults through it. For that reason it is used primarily for bounding of logic generating X (unknown) states. The MUX is typically driven by either an existing scan cell or a dedicated scan cell for the control point. Driving control points from existing scan cells reduces the area overhead since only one MUX is used per control point. It also decreases routing overhead since a nearby scan cell can drive the control point. 2. An XOR control point can be controlled by a source of pseudo-random patterns in test mode, such that random-pattern resistance is reduced without blocking faults propagating to the control point site. An AND or OR gate can similarly be used. 3. AND or OR gates can be inserted to force a constant 0 or 1 when activated, respectively. The value opposite to the predominant value on the signal can be forced. This type of control point has low area overhead, but can block other faults from propagating if activated for the entire test session. Observe points Observe points can be added to enhance the circuit s random-pattern testability by making more nodes in the circuit easily observable. Although observe points are less intrusive than control points, they can increase the capacitive load of the driving gates. Observe points can be merged through XOR trees before connecting them to scan cells, to reduce the number of scan cells required and hence the hardware overhead. Special care, however, must be exercised to merge only observe points that are close together in the circuit. Merging observe points in different blocks can lead to long interconnects which may result in timing problems in at-speed testing. Furthermore, the number of observe points merged should be limited to reduce the possible loss of test coverage due to fault masking. An attractive solution to both of those issues is to connect observe points to existing nearby scan cells rather then create new scan cells. The observe points are connected to the scan cell such that during capture, the functional input is XORed with the observe points connected to that scan cell. This is illustrated in Figure 4. This method reduces the hardware overhead since no new scan cells need to be added. However, note that an additional multiplexor is added along the functional path. Test point selection The method used for test point selection is Multi-phase Test Point Insertion (MTPI) [8]. MTPI divides the test into multiple phases, asserting a subset of the control points in each phase. The control points are AND or OR control points which, when activated, force a constant 0 or 1 respectively. Each control point requires one gate and is controlled from the BIST controller, so the hardware overhead is minimal. Although control points force a constant Functional input From observe points Additional logic Figure 4: Connecting observe points to existing scan cells. value, since they are only asserted in certain phases, they do not block fault propagation for the entire test session. In addition to the low area overhead of the control points in MTPI, typically fewer are required than when test points are selected using other methods. MTPI s control points are also unlikely to introduce timing problems in test mode; since they force constant values when activated, and the signals driving them are only changed during the relatively long scan load/unload cycle. Note that inserting control points can affect a circuit s timing since they add delays along functional paths. However, it is possible to prevent insertion of control points along critical paths or blocks. B. X generators An essential requirement for a BIST-ready circuit is that it should not generate any observable unknown states. If an X propagates to the MISR, it corrupts the signature and makes it impossible to distinguish faulty and fault-free circuits. Therefore, test logic must be inserted to suppress unknown states or prevent them from propagating to an observable point. Typical potential X generators include the following: 1. Non-scan flip-flops (FFs). 2. RAMs and CAMs. 3. Combinational loops. 4. Undriven primary inputs. 5. Bus contention. 6. Violation on a wired gate. Potential X generators can be identified by a design rule checker. Preventing those X sources from propagating to the MISR can be accomplished using several methods which trade area overhead and loss of test coverage. Bounding X generators BIST_mode From previous scan cell Scan cell Scan_enable After identifying potential X generators, analysis is performed to determine which of those X sources need to be bounded. An X generator only needs to be bounded if its value can propagate to an observable point, or if an observe point can be inserted such that the X generator becomes observable. A trade-off can be used to prevent X sources which are already blocked at a nearby location from being bounded. Since in this case, the X generator will only be observable if an observe point is added between the X source and the locations at which it is blocked, simply restricting all gates in this region from being considered as FF 36 2

6 observe point candidates eliminates this problem. The threshold used to determine whether to re-bound a blocked X generator or exclude its blocked fanout region from consideration for observe points can be set by the user. For X generators which must be bounded, this can be done by inserting one or more control points before the X can propagate to an observable point. For example, if a non-scan FF has 2 outputs (Q and Q), one control point can be inserted on each of the outputs and activated in test mode. Alternatively, if the FF has asynchronous set/reset pins, a control point can be added to force the FF to 0 or 1 during the test. While a control point can be added to force a constant value, it is recommended for higher test coverage to insert a MUX control point driven by a nearby existing scan cell, as explained in the control points section. This method for X bounding ensures that no X s will be observed. However, it does not provide means for observing faults which can only propagate to an observable point through the now-blocked X source. This can result in loss of test coverage. If the number of such faults for a given bounded X generator justifies the cost, one or more observe points can be added before the X source to provide an observation point to which those faults can propagate. Handling embedded memories Embedded memories, typically RAMs, can act as X generators. However, bounding their outputs can severely impact test coverage as faults which only propagate to the RAM will not be testable. This includes faults propagating to the RAM s data as well as address and control lines. The preferred method for handling embedded RAMs is to bypass them in test mode. The RAM inputs are connected to scan cells for observation. The inputs can be connected to space compactors (XOR trees) before connecting them to the scan cells, to reduce the number of scan cells required. Those same scan cells are used to drive the outputs of the RAMs in test mode. Therefore, in test mode, the RAM s inputs and outputs become pseudo primary inputs and outputs, respectively. This is illustrated in Figure 5. It is assumed that some other DFT methodology, typically memory BIST, is used to test the RAM itself. logic BIST session follows a memory BIST run, then the RAM can be disabled at the end of the memory BIST session. This forces the outputs of the RAM to have constant values throughout the logic BIST run. While this method has low area overhead, faults propagating to the RAM will be blocked if no observe points are inserted on the RAM s inputs. Furthermore, constant values will be applied from the RAM, which can decrease the testability of faults in the logic driven by the RAM. It may also be possible to bypass some RAMs with low hardware overhead and without adding any logic on their inputs and outputs. If the RAM supports pass-through where the same address is written and read simultaneously, this mode can be used to make the memory transparent. Test logic would be required to force the memory into this mode during the BIST session. The main disadvantage of this method is that while it allows the data inputs to pass through, faults propagating to the address lines may not be tested. Furthermore, if multiple RAMs operate in this mode, combinational loops may form. It is therefore recommended to use the RAM bypass method discussed. C. Handling of primary inputs and outputs In logic BIST, only the scan chains are controlled and observed by default. Since the tester does not drive the test, it does not drive the primary inputs (PIs) or observe the primary outputs (POs). If POs are not observed, loss of test coverage will result since faults which only propagate to POs and not to scan cells will not be tested. More importantly, PIs must be driven; in addition to loss of coverage, a floating PI is an X generator. Control points can be added on the PIs to force them to constant values during the BIST session. While this prevents the PIs from generating X s, loss in coverage may result due to the constant values forced. The recommended solution is to use MUX control points and drive the PIs from nearby existing scan cells. Therefore, PIs are handled exactly the same as X generators. Only PIs which are directly driven by the BIST controller do not need to be bounded. To observe POs during the BIST session, observe points are used to connect them to scan cells. SC RAM Figure 5: RAM bypass. Test_mode If the output multiplexors are not acceptable for timing or introduce an unacceptable hardware overheard, an alternative is to freeze the RAM after it is initialized. If the IV. PRACTICAL EXPERIENCE WITH LOGIC BIST A. Background and motivation This section describes the practical aspects of introducing logic BIST into a department that designs large ASICs. The designs have K NAND2 gate equivalents of logic plus an equivalent area of RAMs. There are often multiple clock domains with frequencies ranging from 2.5 MHz to 150 MHz. Register Transfer Level (RTL) VHDL is the design sourcing language. A simplified diagram of the overall design flow is shown in Figure 6. One of the key design processes is daily execution of some design flows; design synthesis to gates including scan insertion, RTL simulation regression, and Ikos TM gate level 36 3

7 Functional Test RTL VHDL RTL Synthesis Scan Insertion Top Level Assembly Chip Netlist simulation regression. These are shown highlighted in Figure 6. Daily execution of these flows requires them to run overnight and therefore in less than 12 hours. The DFT used is nearly full scan, muxed-scan style with scan insertion performed on the gate level core netlist. Scan insertion currently takes 1-2 hours of the allotted 12 hours for the flow. While ATPG is important, it is not a critical path flow; ATPG with pattern compression takes approximately one day. Normally, a 10-20% sample of the ATPG scan patterns are serially simulated on an Ikos TM, which takes approximately 30 hours. Silicon testing uses a suite of parametric tests followed by functional tests and the scan ATPG tests. Stuck-at ATPG grades are approximately 97% and pseudo stuck-at I DDQ grades are typically 80% with 10 stops. The largest designs have 40K scan cells, and ATPG of these designs generates approximately 5K scan patterns. Assuming that each scan cell generates 3 bits of scan test data per scan pattern, these 5K scan patterns translate to 600 Mb of scan test data. These designs have 8 parallel scan chains and ATPG patterns are applied at MHz, giving silicon run times of seconds. Scan overhead is approximately 9% extra logic which translates to a 4% chip area overhead. B. BIST implementation Delay SDF Layout Figure 6: Design flow. Scan Test Gate Level ATPG Timing Analysis Functional Gate Level Scan Test Table II: Design data. Design ASIC1 ASIC2 ASIC3 ASIC4 Core comb. gate count 180K 356K 558K 748K Number of RAMs MHz clocks MHz clocks MHz clocks /2.5 MHz clocks /25 MHz clocks MHz clocks Logic BIST was implemented on a trial basis into four designs. These are large designs with multiple clocks. Table II gives some vital statistics of the designs. The 75 MHz and 150 MHz clocks are generated within the designs. Although there are lower frequency clocks, all logic works at 75 MHz. For ATPG testing, all clocks run at 50 MHz and this single-frequency multi-clock test mode was used for the current logic BIST implementation. ASIC1 clocks run at 125 MHz. The other ASICs clocks run at 75 MHz. Logic BIST was implemented using the STUMPS architecture, described in Section II. Moving from a scan ATPG methodology to a STUMPS logic BIST methodology is a small step. However, additional design work arises as follows: 1. Generation of a BIST controller. 2. Multiplexing and balancing of clocks. 3. Insertion of many short scan chains for use in BIST mode, and the ability to reconfigure them into relatively few, long scan chains for use in ATPG mode. 4. Test point insertion. 5. Handling of observable X generators. 6. Bounding module inputs. 7. Fault simulation to measure the fault grade and compute the MISR signature. 8. Timing analysis (TA) and resolution of any test pointrelated TA issues. 9. Gate level timing simulation verification of BIST In what follows, issues related to clocks, test point insertion, and X generators will be described. Multi-clock designs require careful balancing of the clock trees. Clock skew within a clock tree and between clock trees must typically be reduced to 0.3 ns at clock speeds of 75 MHz. Such clock control can be achieved through ASIC layout clock tree synthesis (CTS) tools. The clock multiplexing inherent in a multi-clock logic BIST controller is therefore safe as long as the ASIC CTS macros are placed directly on the output of the BIST controller clock multiplexors. MTPI test point insertion uses simple AND or OR gates for control points, driven by the test phase control signal. Selecting gates of sufficient drive ensures correct operation of these static signals. MTPI observe points are implemented as new output signals, new scan cells, or connected into existing scan cells. Each of these three observe point types also has the option of observe point sharing via XOR trees used as space compactors. Observe point signals must operate at speed so they must be captured close to their source; typically within a 20K gate region. The sparsity of observe points within K gate designs is such that observe point sharing would require non-local XOR compaction trees which would not work at speed. For large designs, observe points must either be connected into local pre-existing scan cells or connected into new additional local scan cells. The current logic BIST implementation utilized new scan cell observe points for ASIC1, and 36 4

8 observe points connected into pre-existing scan cells (using the XOR/MUX circuit of Figure 4) for the larger ASICs. The RAMs in the designs can shadow up to 3% of the faults. Therefore RAM bypass mode was used as shown in Figure 5. Using 10 scan cells per RAM leads to a bypass cost of approximately 125 gates per RAM. Removal of the other X generators can be done either by manual alteration of the source VHDL, or automatic bounding as described in Section III. Manual VHDL source fixing of X generators is not practical within the 1-2 person month resource limit so automatic X bounding was used. The only practical way to implement logic BIST is automation of the design tasks together with a methodology which minimizes the probability of failing timing analysis and simulation. Our STUMPS implementation therefore utilized a tap controller whose RTL was automatically generated. The BIST controller described in Section II was used; its RTL was also automatically generated. Finally, the automatic multi-phase test point insertion, X bounding, and module input bounding described in Section III were used. This combination allowed complete automation of the logic BIST implementation, thereby freeing the 1-2 person months of resource to handle issues of timing analysis and simulation verification. C. BIST implementation results The basic results of the logic BIST implementation are given in Table III. These results represent typical achieved values, not necessarily the optimum possible. Fault grades are quoted for the design core only but all logic in the design core is counted, including the bounding multiplexors and test point logic. The fault list used is the same as that used in ATPG, so all faults in the core are included. Thus, no credit is given for possible detected faults, scan enable faults are not implicitly detected, and faults associated with tied logic are included as not detected. As can be seen, BIST fault grades of 95-96% are achievable with approximately 2% logic overhead. BIST fault simulation time is within the goal. However, scan and test point insertion time ranges from 1-14 hours giving an additional RTLto-gates time of hours versus the goal of 2 hours. This additional time is mainly the time spent performing test point insertion, which includes fault grading. ATPG is performed on the BISTed cores under the same conditions in which BIST is run. The ATPG comparative grades of 97-98% indicate an expected grade shortfall with using logic BIST. Note that while the BIST silicon run times are within the 1 second goal, they are 2-3 times longer than the ATPG pattern silicon run times. In the future as ASIC clock frequencies rise to 0.5 GHz and beyond, BIST silicon run times will become less than ATPG pattern run times. Logic BIST test can be topped up with ATPG of the residual faults. For these designs, the ATPG top-up pattern volume is 25-65% of a full ATPG test. A breakdown of the BIST overhead for ASIC4 is given in Table IV. The biggest contributors are the observe points and BIST controller. Table III: Summary of logic BIST results. Item ASIC1 ASIC2 ASIC3 ASIC4 Raw netlist gate count 180K 356K 550K 748K No. scan cells 9K 20K 33K 41K Percent scan (%) Scan overhead (%) No. BIST scan chains Bit length of PRPG Bit length of MISR No. control points added No. observe points added BIST pattern count 65K 262K 262K 262K BIST stuck-at fault grade (%) BIST gates overhead (%) BIST chip area overhead (%) Scan + test point insertion time (hr) Fault simulation time (hr) Ikos TM simulation time (hr) 21 n/a n/a n/a BIST frequency (MHz) BIST silicon run time (sec) ATPG grade (%) ATPG pattern volume (Mb) ATPG top-up pattern volume (Mb) ATPG frequency (MHz) ATPG silicon run time (sec) BIST component Table IV: BIST overhead for ASIC4. NAND2 gate equivalents Overhead as % of scan-inserted netlist BIST controller % Core input bounding % CAM bounding % RAM bounding % X bounding % 592 control points % 1200 observe points % Total % Sensitivity of the BIST fault grades to the number of added test points is shown in Figure 7 for design ASIC3. The BIST grades rise sharply as control and observe points 36 5

9 are added. In the 94-96% region, the grade is relatively insensitive to the number of control points but rises significantly as observe points are added. Sensitivity of the grade to the number of BIST patterns is shown in Figure 8 for design ASIC4. Significant grade increases occur for pattern counts up to 256K patterns and beyond. Fault Grade 97.00% 96.00% 95.00% 94.00% 93.00% 92.00% 91.00% 90.00% 2400 Number of Control Points Figure 7: ASIC3 logic BIST grades versus test points % 95.50% 95.00% Number of Observe Points This is pre- or post-layout gate level timing simulation of the 65K BIST patterns in full serial mode. This long simulation time meant that any debug had to be done using a BIST controller setup for just a few patterns. Automatic checking of expected against simulated values for key points in the BISTed design also facilitated debug. Key points are the PRPG state, stumps scan-in points, stumps scan-out points and MISR signature. ASIC1 Ikos TM simulation found one timing issue. At the end of the BIST run, the MISR signature is scanned out through a relatively slow chip pin driver. Driver delay variation between min and max timing made the MISR signature slip by one cycle between these conditions. The solution to this was to slow the clock to 50 MHz during scan of the MISR. Addressing the design process goals of logic BIST, the new design flow is shown in Figure 9. The changed components are highlighted. From the viewpoint of the main design processes, this new design flow is essentially unchanged. The only change to these main processes is the addition of automatic bounding and test point insertion to the scan insertion step. The DFT engineer, however, has the extra tasks of RTL VHDL generation of the TAP and BIST controller and the job of getting satisfactory results for gate level BIST fault simulation and functional and timing simulation. Finally, any timing issues with the inserted test points will also result in additional engineering time. Fault Grade 94.50% 94.00% 93.50% 93.00% Functional Test VHDL RTL Synthesis BIST Control Generate BIST Gate Level BIST Fault 92.50% 92.00% Number of BIST patterns Figure 8: ASIC4 logic BIST grades versus patterns. Pre- and post-layout timing analysis of ASIC1 uncovered the following: 1. Paths from the stumps channel scan-out points to the MISR had setup violations. These were eliminated by reducing the depth of the XOR space compactor from 3 to 1 XOR. 2. A small part of the ASIC1 logic did not run at speed. This was not a functional issue but meant that special handling was needed in order to be able to run logic BIST at speed. Paths from two inputs into this logic were slow. The solution adopted here was to source these two slow inputs with the MTPI phase control signals during logic BIST. That makes these inputs pseudo static at the cost of a small fault grade reduction due to their toggling only once during logic BIST. Of note is that the ASIC1 test points gave rise to no timing issues. ASIC1 Ikos TM simulation time was 21 hours. RTL Scan Insertion Test Point Insertion Top Level Assembly Chip Netlist Delay SDF Layout Scan Test Gate Level ATPG Timing Analysis Functional Gate Level Figure 9: Design flow with logic BIST. Scan Test An assessment of the success of current implementations of logic BIST in the designs is presented in Table V. Most of the goals were achieved. However, the run time for the design compile is too long and work is underway to address this through design partitioning and distributed processing. Ikos TM simulation times are also over the goal, but in retrospect this goal was impractical. Future simulations will mostly be partial simulations as is our current practice with ATPG pattern Ikos TM simulations. Confi- 36 6

10 dence in using logic BIST is now high enough that it will actually be used in new designs. Table V: Status of logic BIST goals. Goal Description Status G1 Eliminate tester memory and frequency limitations Achieved G2 Provides at-speed scan testing Achieved G3 Works for 1-2 million gate designs Expected G4 BIST stuck-at grade 95% Achieved G5 Logic BIST area 2% of logic Achieved G6 Silicon BIST run time < 1sec Achieved G7 Effort < 2 person month per design Expected G8 Ability to use ATPG or logic BIST Achieved G9 Minimal impact on design methodology Achieved G10 Automation of the logic BIST flow Achieved G11 Additional RTL-to-gates time < 2 hrs Expected G12 Logic BIST fault grade < 12 hrs Achieved G13 Logic BIST Ikos TM simulation < 12 hrs Goal Revised G14 BIST can be run on very low cost tester Achieved V. CONCLUSIONS In this paper, a practical logic BIST solution for large and complex industrial digital designs has been presented. The challenges in making logic BIST a viable test solution include making a design BIST-ready, achieving high test quality, automating logic BIST, and integrating logic BIST into the overall design flow without impacting the product schedule. Techniques like automatically identifying and bounding X generators, bypassing RAMs, bounding I/Os, and test point insertion have been proposed and discussed to make a design BIST-ready. The multi-phase test point insertion technique has been used to improve random pattern testability of the designs and to make BIST test coverage approach that of ATPG. A novel BIST controller has been proposed to handle at-speed testing of multi-frequency designs. This multi-frequency BIST scheme is designed to test various intra- and inter-clock domain paths at-speed, thereby increasing the quality of test, without requiring that scan shifting be performed at speed. The results of implementing the logic BIST solution on four industrial designs have been reported. The solution embodies the techniques described above, and a number of tools have been used to automate the BIST flow. These tools and techniques have made logic BIST a feasible solution for such large and complex industrial designs. The results presented demonstrate that most of the objectives set for logic BIST have been satisfied for the four designs. It has also been shown that logic BIST is implemented in these designs with low area overhead and high stuck-at fault coverage. The test application time as well as the fault simulation time were shown to be low. Finally, with the use of automation, it has been possible to implement logic BIST without impacting the product schedule. The proposed scheme, together with the implementation experience reported, show that logic BIST is a viable and acceptable test solution for large industrial designs. Future work will report on practical issues of implementing multi-frequency at-speed logic BIST as well as measuring the effectiveness of logic BIST test. ACKNOWLEDGEMENTS The authors would like to thank Theo Powell and the MOS design center engineers of Texas Instruments, as well as Ian Burgess, Ralph Sanchez, and Kelly Scott of Mentor Graphics, for their contributions and support. REFERENCES [1] B. Bottoms, The Third Millennium s Test Dilemma, IEEE Design &Test of Computers, pp. 7-11, Vol. 15, No. 4, Fall [2] E. J. McCluskey, Built-In Self Test Techniques, IEEE Design &Test of Computers, pp , Vol. 2, No. 2, April [3] W. Needham and N. Gollakota, DFT Strategy for Intel Microprocessors, Proc. of International Test Conference, pp , [4] T. Foote, D. Hoffman, W. Houtt and M. Kusko, Testing the 400-MHz IBM Generation-4 CMOS Chip, Proc. of International Test Conference, pp , [5] C.-J. Lin, Y. Zorian and S. Bhawmik, PSBIST: A Partial Scan Based Built-In Self Test Scheme, Proc. of International Test Conference, [6] P.H. Bardell, W.H. McKenney, and J. Savir, Built-In Test for VLSI: Pseudorandom techniques, John Wiley and Sons, New York, [7] J. Rajski, N. Tamarapalli, and J. Tyszer, Automated Synthesis of Large Phase Shifters for Built-In Self- Test, Proc. of International Test Conference, pp , [8] N. Tamarapalli and J. Rajski, Constructive Multi- Phase Test Point Insertion for Scan-Based BIST, Proc. of International Test Conference, pp , [9] A. Hassan, J. Rajski, R. Thompson and N. Tamarapalli, Method and Apparatus for At-Speed Testing of Digital Circuits, US patent pending. [10] B. Nadeau-Dostie, D. Burek and A. Hassan, Scan- BIST: A Multifrequency Scan-Based BIST Method, IEEE Design &Test of Computers, pp. 7-17, Vol. 11, No. 1, Spring

K.T. Tim Cheng 07_dft, v Testability

K.T. Tim Cheng 07_dft, v Testability K.T. Tim Cheng 07_dft, v1.0 1 Testability Is concept that deals with costs associated with testing. Increase testability of a circuit Some test cost is being reduced Test application time Test generation

More information

Overview: Logic BIST

Overview: Logic BIST VLSI Design Verification and Testing Built-In Self-Test (BIST) - 2 Mohammad Tehranipoor Electrical and Computer Engineering University of Connecticut 23 April 2007 1 Overview: Logic BIST Motivation Built-in

More information

Design of Fault Coverage Test Pattern Generator Using LFSR

Design of Fault Coverage Test Pattern Generator Using LFSR Design of Fault Coverage Test Pattern Generator Using LFSR B.Saritha M.Tech Student, Department of ECE, Dhruva Institue of Engineering & Technology. Abstract: A new fault coverage test pattern generator

More information

Using on-chip Test Pattern Compression for Full Scan SoC Designs

Using on-chip Test Pattern Compression for Full Scan SoC Designs Using on-chip Test Pattern Compression for Full Scan SoC Designs Helmut Lang Senior Staff Engineer Jens Pfeiffer CAD Engineer Jeff Maguire Principal Staff Engineer Motorola SPS, System-on-a-Chip Design

More information

Chapter 8 Design for Testability

Chapter 8 Design for Testability 電機系 Chapter 8 Design for Testability 測試導向設計技術 2 Outline Introduction Ad-Hoc Approaches Full Scan Partial Scan 3 Design For Testability Definition Design For Testability (DFT) refers to those design techniques

More information

CPE 628 Chapter 5 Logic Built-In Self-Test. Dr. Rhonda Kay Gaede UAH. UAH Chapter Introduction

CPE 628 Chapter 5 Logic Built-In Self-Test. Dr. Rhonda Kay Gaede UAH. UAH Chapter Introduction Chapter 5 Logic Built-In Self-Test Dr. Rhonda Kay Gaede UAH 1 5.1 Introduction Introduce the basic concepts of BIST BIST Rules Test pattern generation and output techniques Fault Coverage Various BIST

More information

Scan. This is a sample of the first 15 pages of the Scan chapter.

Scan. This is a sample of the first 15 pages of the Scan chapter. Scan This is a sample of the first 15 pages of the Scan chapter. Note: The book is NOT Pinted in color. Objectives: This section provides: An overview of Scan An introduction to Test Sequences and Test

More information

Lecture 23 Design for Testability (DFT): Full-Scan

Lecture 23 Design for Testability (DFT): Full-Scan Lecture 23 Design for Testability (DFT): Full-Scan (Lecture 19alt in the Alternative Sequence) Definition Ad-hoc methods Scan design Design rules Scan register Scan flip-flops Scan test sequences Overheads

More information

TKK S ASIC-PIIRIEN SUUNNITTELU

TKK S ASIC-PIIRIEN SUUNNITTELU Design TKK S-88.134 ASIC-PIIRIEN SUUNNITTELU Design Flow 3.2.2005 RTL Design 10.2.2005 Implementation 7.4.2005 Contents 1. Terminology 2. RTL to Parts flow 3. Logic synthesis 4. Static Timing Analysis

More information

Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory. National Central University

Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory. National Central University Chapter 3 Basics of VLSI Testing (2) Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory Department of Electrical Engineering National Central University Jhongli, Taiwan Outline Testing Process Fault

More information

for Digital IC's Design-for-Test and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ

for Digital IC's Design-for-Test and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ Design-for-Test for Digital IC's and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ 07458 www.phptr.com ISBN D-13-DflMfla7-l : Ml H Contents Preface Acknowledgments Introduction

More information

Testability: Lecture 23 Design for Testability (DFT) Slide 1 of 43

Testability: Lecture 23 Design for Testability (DFT) Slide 1 of 43 Testability: Lecture 23 Design for Testability (DFT) Shaahin hi Hessabi Department of Computer Engineering Sharif University of Technology Adapted, with modifications, from lecture notes prepared p by

More information

VLSI System Testing. BIST Motivation

VLSI System Testing. BIST Motivation ECE 538 VLSI System Testing Krish Chakrabarty Built-In Self-Test (BIST): ECE 538 Krish Chakrabarty BIST Motivation Useful for field test and diagnosis (less expensive than a local automatic test equipment)

More information

Lecture 23 Design for Testability (DFT): Full-Scan (chapter14)

Lecture 23 Design for Testability (DFT): Full-Scan (chapter14) Lecture 23 Design for Testability (DFT): Full-Scan (chapter14) Definition Ad-hoc methods Scan design Design rules Scan register Scan flip-flops Scan test sequences Overheads Scan design system Summary

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET476) Lecture 9 (2) Built-In-Self Test (Chapter 5) Said Hamdioui Computer Engineering Lab Delft University of Technology 29-2 Learning aims Describe the concept and

More information

Design for Testability

Design for Testability TDTS 01 Lecture 9 Design for Testability Zebo Peng Embedded Systems Laboratory IDA, Linköping University Lecture 9 The test problems Fault modeling Design for testability techniques Zebo Peng, IDA, LiTH

More information

Instructions. Final Exam CPSC/ELEN 680 December 12, Name: UIN:

Instructions. Final Exam CPSC/ELEN 680 December 12, Name: UIN: Final Exam CPSC/ELEN 680 December 12, 2005 Name: UIN: Instructions This exam is closed book. Provide brief but complete answers to the following questions in the space provided, using figures as necessary.

More information

At-speed Testing of SOC ICs

At-speed Testing of SOC ICs At-speed Testing of SOC ICs Vlado Vorisek, Thomas Koch, Hermann Fischer Multimedia Design Center, Semiconductor Products Sector Motorola Munich, Germany Abstract This paper discusses the aspects and associated

More information

Simulation Mismatches Can Foul Up Test-Pattern Verification

Simulation Mismatches Can Foul Up Test-Pattern Verification 1 of 5 12/17/2009 2:59 PM Technologies Design Hotspots Resources Shows Magazine ebooks & Whitepapers Jobs More... Click to view this week's ad screen [ D e s i g n V i e w / D e s i g n S o lu ti o n ]

More information

Channel Masking Synthesis for Efficient On-Chip Test Compression

Channel Masking Synthesis for Efficient On-Chip Test Compression Channel Masking Synthesis for Efficient On-Chip Test Compression Vivek Chickermane, Brian Foutz, and Brion Keller {vivekc, foutz, kellerbl}@cadence.com Cadence Design Systems, 1701 North Street, Endicott,

More information

At-speed testing made easy

At-speed testing made easy At-speed testing made easy By Bruce Swanson and Michelle Lange, EEdesign.com Jun 03, 2004 (5:00 PM EDT) URL: http://www.eedesign.com/article/showarticle.jhtml?articleid=21401421 Today's chip designs are

More information

Changing the Scan Enable during Shift

Changing the Scan Enable during Shift Changing the Scan Enable during Shift Nodari Sitchinava* Samitha Samaranayake** Rohit Kapur* Emil Gizdarski* Fredric Neuveux* T. W. Williams* * Synopsys Inc., 700 East Middlefield Road, Mountain View,

More information

IMPLEMENTATION OF X-FACTOR CIRCUITRY IN DECOMPRESSOR ARCHITECTURE

IMPLEMENTATION OF X-FACTOR CIRCUITRY IN DECOMPRESSOR ARCHITECTURE IMPLEMENTATION OF X-FACTOR CIRCUITRY IN DECOMPRESSOR ARCHITECTURE SATHISHKUMAR.K #1, SARAVANAN.S #2, VIJAYSAI. R #3 School of Computing, M.Tech VLSI design, SASTRA University Thanjavur, Tamil Nadu, 613401,

More information

High-Frequency, At-Speed Scan Testing

High-Frequency, At-Speed Scan Testing High-Frequency, At-Speed Scan Testing Xijiang Lin, Ron Press, Janusz Rajski, Paul Reuter, Thomas Rinderknecht, Bruce Swanson, and Nagesh Tamarapalli Mentor Graphics Editor s note: At-speed scan testing

More information

Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Lecture No. # 29 Minimizing Switched Capacitance-III. (Refer

More information

Testing Digital Systems II

Testing Digital Systems II Testing Digital Systems II Lecture 2: Design for Testability (I) structor: M. Tahoori Copyright 2010, M. Tahoori TDS II: Lecture 2 1 History During early years, design and test were separate The final

More information

UNIT IV CMOS TESTING. EC2354_Unit IV 1

UNIT IV CMOS TESTING. EC2354_Unit IV 1 UNIT IV CMOS TESTING EC2354_Unit IV 1 Outline Testing Logic Verification Silicon Debug Manufacturing Test Fault Models Observability and Controllability Design for Test Scan BIST Boundary Scan EC2354_Unit

More information

CMOS Testing-2. Design for testability (DFT) Design and Test Flow: Old View Test was merely an afterthought. Specification. Design errors.

CMOS Testing-2. Design for testability (DFT) Design and Test Flow: Old View Test was merely an afterthought. Specification. Design errors. Design and test CMOS Testing- Design for testability (DFT) Scan design Built-in self-test IDDQ testing ECE 261 Krish Chakrabarty 1 Design and Test Flow: Old View Test was merely an afterthought Specification

More information

System IC Design: Timing Issues and DFT. Hung-Chih Chiang

System IC Design: Timing Issues and DFT. Hung-Chih Chiang System IC esign: Timing Issues and FT Hung-Chih Chiang Outline SoC Timing Issues Timing terminologies Synchronous vs. asynchronous design Interfaces and timing closure Clocking issues Reset esign for Testability

More information

Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction

Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction Low Illinois Scan Architecture for Simultaneous and Test Data Volume Anshuman Chandra, Felix Ng and Rohit Kapur Synopsys, Inc., 7 E. Middlefield Rd., Mountain View, CA Abstract We present Low Illinois

More information

Chapter 5. Logic Built-In Self-Test. VLSI EE141 Test Principles and Architectures Ch. 5 - Logic BIST - P. 1

Chapter 5. Logic Built-In Self-Test. VLSI EE141 Test Principles and Architectures Ch. 5 - Logic BIST - P. 1 Chapter 5 Logic Built-In Self-Test VLSI EE141 Test Principles and Architectures Ch. 5 - Logic BIST - P. 1 1 What is this chapter about? Introduce the basic concepts of logic BIST BIST Design Rules Test

More information

Prototyping an ASIC with FPGAs. By Rafey Mahmud, FAE at Synplicity.

Prototyping an ASIC with FPGAs. By Rafey Mahmud, FAE at Synplicity. Prototyping an ASIC with FPGAs By Rafey Mahmud, FAE at Synplicity. With increased capacity of FPGAs and readily available off-the-shelf prototyping boards sporting multiple FPGAs, it has become feasible

More information

Unit 8: Testability. Prof. Roopa Kulkarni, GIT, Belgaum. 29

Unit 8: Testability. Prof. Roopa Kulkarni, GIT, Belgaum. 29 Unit 8: Testability Objective: At the end of this unit we will be able to understand Design for testability (DFT) DFT methods for digital circuits: Ad-hoc methods Structured methods: Scan Level Sensitive

More information

Testing Digital Systems II

Testing Digital Systems II Testing Digital Systems II Lecture 7: Built-in Self Test (III) Instructor: M. Tahoori Copyright 206, M. Tahoori TDS II: Lecture 7 BIST Architectures Copyright 206, M. Tahoori TDS II: Lecture 7 2 Lecture

More information

Logic BIST Architecture Using Staggered Launch-on-Shift for Testing Designs Containing Asynchronous Clock Domains

Logic BIST Architecture Using Staggered Launch-on-Shift for Testing Designs Containing Asynchronous Clock Domains 2010 25th International Symposium on Defect and Fault Tolerance in VLSI Systems Logic BIST Architecture Using Staggered Launch-on-Shift for Testing Designs Containing Asynchronous Clock Domains Shianling

More information

FOR A DISSERTATION SUBMITTED TO THE DEPARTMENT OF ELECTRICAL ENGINEERING AND THE COMMITTEE ON GRADUATE STUDIES OF STANFORD UNIVERSITY

FOR A DISSERTATION SUBMITTED TO THE DEPARTMENT OF ELECTRICAL ENGINEERING AND THE COMMITTEE ON GRADUATE STUDIES OF STANFORD UNIVERSITY DETERMINISTIC BUILT-IN SELF TEST FOR DIGITAL CIRCUITS A DISSERTATION SUBMITTED TO THE DEPARTMENT OF ELECTRICAL ENGINEERING AND THE COMMITTEE ON GRADUATE STUDIES OF STANFORD UNIVERSITY IN PARTIAL FULFILLMENT

More information

Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test

Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test Mark McDermott Electrical and Computer Engineering The University of Texas at Austin Agenda Introduction to testing Logical

More information

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL Random Access Scan Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL ramamve@auburn.edu Term Paper for ELEC 7250 (Spring 2005) Abstract: Random Access

More information

Circular BIST testing the digital logic within a high speed Serdes

Circular BIST testing the digital logic within a high speed Serdes Circular BIST testing the digital logic within a high speed Serdes Graham Hetherington and Richard Simpson Texas Instruments Ltd 800 Pavilion Drive Northampton, UK NN4 7YL Abstract High Speed Serializer

More information

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Final Examination CLOSED BOOK

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Final Examination CLOSED BOOK Department of Electrical and Computer Engineering University of Wisconsin Madison Fall 2014-2015 Final Examination CLOSED BOOK Kewal K. Saluja Date: December 14, 2014 Place: Room 3418 Engineering Hall

More information

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September-2014 917 The Power Optimization of Linear Feedback Shift Register Using Fault Coverage Circuits K.YARRAYYA1, K CHITAMBARA

More information

This Chapter describes the concepts of scan based testing, issues in testing, need

This Chapter describes the concepts of scan based testing, issues in testing, need Chapter 2 AT-SPEED TESTING AND LOGIC BUILT IN SELF TEST 2.1 Introduction This Chapter describes the concepts of scan based testing, issues in testing, need for logic BIST and trends in VLSI testing. Scan

More information

Design for test methods to reduce test set size

Design for test methods to reduce test set size University of Iowa Iowa Research Online Theses and Dissertations Summer 2018 Design for test methods to reduce test set size Yingdi Liu University of Iowa Copyright 2018 Yingdi Liu This dissertation is

More information

Clock Gate Test Points

Clock Gate Test Points Clock Gate Test Points Narendra Devta-Prasanna and Arun Gunda LSI Corporation 5 McCarthy Blvd. Milpitas CA 9535, USA {narendra.devta-prasanna, arun.gunda}@lsi.com Abstract Clock gating is widely used in

More information

Testing Digital Systems II

Testing Digital Systems II Testing Digital Systems II Lecture 5: Built-in Self Test (I) Instructor: M. Tahoori Copyright 2010, M. Tahoori TDS II: Lecture 5 1 Outline Introduction (Lecture 5) Test Pattern Generation (Lecture 5) Pseudo-Random

More information

Diagnosis of Resistive open Fault using Scan Based Techniques

Diagnosis of Resistive open Fault using Scan Based Techniques Diagnosis of Resistive open Fault using Scan Based Techniques 1 Mr. A. Muthu Krishnan. M.E., (Ph.D), 2. G. Chandra Theepa Assistant Professor 1, PG Scholar 2,Dept. of ECE, Regional Office, Anna University,

More information

Clock Control Architecture and ATPG for Reducing Pattern Count in SoC Designs with Multiple Clock Domains

Clock Control Architecture and ATPG for Reducing Pattern Count in SoC Designs with Multiple Clock Domains Clock Control Architecture and ATPG for Reducing Pattern Count in SoC Designs with Multiple Clock Domains Tom Waayers Richard Morren Xijiang Lin Mark Kassab NXP semiconductors High Tech Campus 46 5656

More information

Logic Design for On-Chip Test Clock Generation- Implementation Details and Impact on Delay Test Quality

Logic Design for On-Chip Test Clock Generation- Implementation Details and Impact on Delay Test Quality Logic Design for On-Chip Test Clock Generation- mplementation Details and mpact on Delay Test Quality Beck, Olivier Barondeau, Martin Kaibel, Frank Poehl Technologies AG 73 81541Munich, Germany Xijiang

More information

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab. Built-In Self Test 2

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab. Built-In Self Test 2 CMOS INTEGRATE CIRCUIT ESIGN TECHNIUES University of Ioannina Built In Self Test (BIST) ept. of Computer Science and Engineering Y. Tsiatouhas CMOS Integrated Circuit esign Techniques VLSI Systems and

More information

ECE 715 System on Chip Design and Test. Lecture 22

ECE 715 System on Chip Design and Test. Lecture 22 ECE 75 System on Chip Design and Test Lecture 22 Response Compaction Severe amounts of data in CUT response to LFSR patterns example: Generate 5 million random patterns CUT has 2 outputs Leads to: 5 million

More information

Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques

Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques Akkala Suvarna Ratna M.Tech (VLSI & ES), Department of ECE, Sri Vani School of Engineering, Vijayawada. Abstract: A new

More information

Page 1 of 6 Follow these guidelines to design testable ASICs, boards, and systems. (includes related article on automatic testpattern generation basics) (Tutorial) From: EDN Date: August 19, 1993 Author:

More information

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits N.Brindha, A.Kaleel Rahuman ABSTRACT: Auto scan, a design for testability (DFT) technique for synchronous sequential circuits.

More information

Based on slides/material by. Topic 14. Testing. Testing. Logic Verification. Recommended Reading:

Based on slides/material by. Topic 14. Testing. Testing. Logic Verification. Recommended Reading: Based on slides/material by Topic 4 Testing Peter Y. K. Cheung Department of Electrical & Electronic Engineering Imperial College London!! K. Masselos http://cas.ee.ic.ac.uk/~kostas!! J. Rabaey http://bwrc.eecs.berkeley.edu/classes/icbook/instructors.html

More information

Design and Implementation OF Logic-BIST Architecture for I2C Slave VLSI ASIC Design Using Verilog

Design and Implementation OF Logic-BIST Architecture for I2C Slave VLSI ASIC Design Using Verilog Design and Implementation OF Logic-BIST Architecture for I2C Slave VLSI ASIC Design Using Verilog 1 Manish J Patel, 2 Nehal Parmar, 3 Vishwas Chaudhari 1, 2, 3 PG Students (VLSI & ESD) Gujarat Technological

More information

Module 8. Testing of Embedded System. Version 2 EE IIT, Kharagpur 1

Module 8. Testing of Embedded System. Version 2 EE IIT, Kharagpur 1 Module 8 Testing of Embedded System Version 2 EE IIT, Kharagpur 1 Lesson 39 Design for Testability Version 2 EE IIT, Kharagpur 2 Instructional Objectives After going through this lesson the student would

More information

VARIABLE FREQUENCY CLOCKING HARDWARE

VARIABLE FREQUENCY CLOCKING HARDWARE VARIABLE FREQUENCY CLOCKING HARDWARE Variable-Frequency Clocking Hardware Many complex digital systems have components clocked at different frequencies Reason 1: to reduce power dissipation The active

More information

VLSI Design Verification and Test BIST II CMPE 646 Space Compaction Multiple Outputs We need to treat the general case of a k-output circuit.

VLSI Design Verification and Test BIST II CMPE 646 Space Compaction Multiple Outputs We need to treat the general case of a k-output circuit. Space Compaction Multiple Outputs We need to treat the general case of a k-output circuit. Test Set L m CUT k LFSR There are several possibilities: Multiplex the k outputs of the CUT. M 1 P(X)=X 4 +X+1

More information

Strategies for Efficient and Effective Scan Delay Testing. Chao Han

Strategies for Efficient and Effective Scan Delay Testing. Chao Han Strategies for Efficient and Effective Scan Delay Testing by Chao Han A thesis submitted to the Graduate Faculty of Auburn University in partial fulfillment of the requirements for the Degree of Master

More information

Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA

Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA M.V.M.Lahari 1, M.Mani Kumari 2 1,2 Department of ECE, GVPCEOW,Visakhapatnam. Abstract The increasing growth of sub-micron

More information

Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky,

Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky, Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky, tomott}@berkeley.edu Abstract With the reduction of feature sizes, more sources

More information

An On-Chip Test Clock Control Scheme for Multi-Clock At-Speed Testing

An On-Chip Test Clock Control Scheme for Multi-Clock At-Speed Testing 16th IEEE Asian Test Symposium An On-Chip Test Clock Control Scheme for Multi-Clock At-Speed Testing 1, 2 Xiao-Xin FAN, 1 Yu HU, 3 Laung-Terng (L.-T.) WANG 1 Key Laboratory of Computer System and Architecture,

More information

Impact of Test Point Insertion on Silicon Area and Timing during Layout

Impact of Test Point Insertion on Silicon Area and Timing during Layout Impact of Test Point Insertion on Silicon Area and Timing during Layout Harald Vranken Ferry Syafei Sapei 2 Hans-Joachim Wunderlich 2 Philips Research Laboratories IC Design Digital Design & Test Prof.

More information

VirtualScan TM An Application Story

VirtualScan TM An Application Story Test Data Compaction Tool from SynTest TM VirtualScan TM An Application Story January 29, 2004 Hiroshi Furukawa SoC No. 3 Group, SoC Development Division 1 Agenda Current Problems What is VirtualScan?

More information

Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow

Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow Bradley R. Quinton*, Mark R. Greenstreet, Steven J.E. Wilton*, *Dept. of Electrical and Computer Engineering, Dept.

More information

Design for Test. Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective.

Design for Test. Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective. Design for Test Definition: Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective. Types: Design for Testability Enhanced access Built-In

More information

2.6 Reset Design Strategy

2.6 Reset Design Strategy 2.6 Reset esign Strategy Many design issues must be considered before choosing a reset strategy for an ASIC design, such as whether to use synchronous or asynchronous resets, will every flipflop receive

More information

Design for Testability Part II

Design for Testability Part II Design for Testability Part II 1 Partial-Scan Definition A subset of flip-flops is scanned. Objectives: Minimize area overhead and scan sequence length, yet achieve required fault coverage. Exclude selected

More information

Built-In Self-Test (BIST) Abdil Rashid Mohamed, Embedded Systems Laboratory (ESLAB) Linköping University, Sweden

Built-In Self-Test (BIST) Abdil Rashid Mohamed, Embedded Systems Laboratory (ESLAB) Linköping University, Sweden Built-In Self-Test (BIST) Abdil Rashid Mohamed, abdmo@ida ida.liu.se Embedded Systems Laboratory (ESLAB) Linköping University, Sweden Introduction BIST --> Built-In Self Test BIST - part of the circuit

More information

Transactions Brief. Circular BIST With State Skipping

Transactions Brief. Circular BIST With State Skipping 668 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 10, NO. 5, OCTOBER 2002 Transactions Brief Circular BIST With State Skipping Nur A. Touba Abstract Circular built-in self-test

More information

Sharif University of Technology. SoC: Introduction

Sharif University of Technology. SoC: Introduction SoC Design Lecture 1: Introduction Shaahin Hessabi Department of Computer Engineering System-on-Chip System: a set of related parts that act as a whole to achieve a given goal. A system is a set of interacting

More information

More on Flip-Flops Digital Design and Computer Architecture: ARM Edition 2015 Chapter 3 <98> 98

More on Flip-Flops Digital Design and Computer Architecture: ARM Edition 2015 Chapter 3 <98> 98 More on Flip-Flops Digital Design and Computer Architecture: ARM Edition 2015 Chapter 3 98 Review: Bit Storage SR latch S (set) Q R (reset) Level-sensitive SR latch S S1 C R R1 Q D C S R D latch Q

More information

Why FPGAs? FPGA Overview. Why FPGAs?

Why FPGAs? FPGA Overview. Why FPGAs? Transistor-level Logic Circuits Positive Level-sensitive EECS150 - Digital Design Lecture 3 - Field Programmable Gate Arrays (FPGAs) January 28, 2003 John Wawrzynek Transistor Level clk clk clk Positive

More information

Unit V Design for Testability

Unit V Design for Testability Unit V Design for Testability Outline Testing Logic Verification Silicon Debug Manufacturing Test Fault Models Observability and Controllability Design for Test Scan BIST Boundary Scan Slide 2 Testing

More information

NH 67, Karur Trichy Highways, Puliyur C.F, Karur District UNIT-III SEQUENTIAL CIRCUITS

NH 67, Karur Trichy Highways, Puliyur C.F, Karur District UNIT-III SEQUENTIAL CIRCUITS NH 67, Karur Trichy Highways, Puliyur C.F, 639 114 Karur District DEPARTMENT OF ELETRONICS AND COMMUNICATION ENGINEERING COURSE NOTES SUBJECT: DIGITAL ELECTRONICS CLASS: II YEAR ECE SUBJECT CODE: EC2203

More information

Chapter 5 Flip-Flops and Related Devices

Chapter 5 Flip-Flops and Related Devices Chapter 5 Flip-Flops and Related Devices Chapter 5 Objectives Selected areas covered in this chapter: Constructing/analyzing operation of latch flip-flops made from NAND or NOR gates. Differences of synchronous/asynchronous

More information

Sequencing. Lan-Da Van ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall,

Sequencing. Lan-Da Van ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall, Sequencing ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall, 2013 ldvan@cs.nctu.edu.tw http://www.cs.nctu.edu.tw/~ldvan/ Outlines Introduction Sequencing

More information

Response Compaction with any Number of Unknowns using a new LFSR Architecture*

Response Compaction with any Number of Unknowns using a new LFSR Architecture* Response Compaction with any Number of Unknowns using a new LFSR Architecture* Agilent Laboratories Palo Alto, CA Erik_Volkerink@Agilent.com Erik H. Volkerink, and Subhasish Mitra,3 Intel Corporation Folsom,

More information

Design of BIST Enabled UART with MISR

Design of BIST Enabled UART with MISR International Journal of Emerging Engineering Research and Technology Volume 3, Issue 8, August 2015, PP 85-89 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) ABSTRACT Design of BIST Enabled UART with

More information

Enhanced JTAG to test interconnects in a SoC

Enhanced JTAG to test interconnects in a SoC Enhanced JTAG to test interconnects in a SoC by Dany Lebel and Sorin Alin Herta 1 Enhanced JTAG to test interconnects in a SoC Dany Lebel (1271766) and Sorin Alin Herta (1317418) ELE-6306, Test de systèmes

More information

Innovative Fast Timing Design

Innovative Fast Timing Design Innovative Fast Timing Design Solution through Simultaneous Processing of Logic Synthesis and Placement A new design methodology is now available that offers the advantages of enhanced logical design efficiency

More information

Controlling Peak Power During Scan Testing

Controlling Peak Power During Scan Testing Controlling Peak Power During Scan Testing Ranganathan Sankaralingam and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering University of Texas, Austin,

More information

DFT Timing Design Methodology for At-Speed BIST

DFT Timing Design Methodology for At-Speed BIST DFT Timing Design Methodology for At-Speed BIST Yasuo Sato 1), Motoyuki Sato 1), Koki Tsutsumida 1), Masatoshi Kawashima 1), Kazumi Hatayama 2), and Kazuyuki Nomoto 3) 1) Device Development Center 2) Central

More information

[Krishna*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785

[Krishna*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY DESIGN AND IMPLEMENTATION OF BIST TECHNIQUE IN UART SERIAL COMMUNICATION M.Hari Krishna*, P.Pavan Kumar * Electronics and Communication

More information

nmos transistor Basics of VLSI Design and Test Solution: CMOS pmos transistor CMOS Inverter First-Order DC Analysis CMOS Inverter: Transient Response

nmos transistor Basics of VLSI Design and Test Solution: CMOS pmos transistor CMOS Inverter First-Order DC Analysis CMOS Inverter: Transient Response nmos transistor asics of VLSI Design and Test If the gate is high, the switch is on If the gate is low, the switch is off Mohammad Tehranipoor Drain ECE495/695: Introduction to Hardware Security & Trust

More information

DEDICATED TO EMBEDDED SOLUTIONS

DEDICATED TO EMBEDDED SOLUTIONS DEDICATED TO EMBEDDED SOLUTIONS DESIGN SAFE FPGA INTERNAL CLOCK DOMAIN CROSSINGS ESPEN TALLAKSEN DATA RESPONS SCOPE Clock domain crossings (CDC) is probably the worst source for serious FPGA-bugs that

More information

Lecture 18 Design For Test (DFT)

Lecture 18 Design For Test (DFT) Lecture 18 Design For Test (DFT) Xuan Silvia Zhang Washington University in St. Louis http://classes.engineering.wustl.edu/ese461/ ASIC Test Two Stages Wafer test, one die at a time, using probe card production

More information

A New Approach to Design Fault Coverage Circuit with Efficient Hardware Utilization for Testing Applications

A New Approach to Design Fault Coverage Circuit with Efficient Hardware Utilization for Testing Applications A New Approach to Design Fault Coverage Circuit with Efficient Hardware Utilization for Testing Applications S. Krishna Chaitanya Department of Electronics & Communication Engineering, Hyderabad Institute

More information

Testing Sequential Logic. CPE/EE 428/528 VLSI Design II Intro to Testing (Part 2) Testing Sequential Logic (cont d) Testing Sequential Logic (cont d)

Testing Sequential Logic. CPE/EE 428/528 VLSI Design II Intro to Testing (Part 2) Testing Sequential Logic (cont d) Testing Sequential Logic (cont d) Testing Sequential Logic CPE/EE 428/528 VLSI Design II Intro to Testing (Part 2) Electrical and Computer Engineering University of Alabama in Huntsville In general, much more difficult than testing combinational

More information

Digital Integrated Circuits Lecture 19: Design for Testability

Digital Integrated Circuits Lecture 19: Design for Testability Digital Integrated Circuits Lecture 19: Design for Testability Chih-Wei Liu VLSI Signal Processing LAB National Chiao Tung University cwliu@twins.ee.nctu.edu.tw DIC-Lec19 cwliu@twins.ee.nctu.edu.tw 1 Outline

More information

LFSR Counter Implementation in CMOS VLSI

LFSR Counter Implementation in CMOS VLSI LFSR Counter Implementation in CMOS VLSI Doshi N. A., Dhobale S. B., and Kakade S. R. Abstract As chip manufacturing technology is suddenly on the threshold of major evaluation, which shrinks chip in size

More information

A Novel Low Power pattern Generation Technique for Concurrent Bist Architecture

A Novel Low Power pattern Generation Technique for Concurrent Bist Architecture A Novel Low Power pattern Generation Technique for Concurrent Bist Architecture Y. Balasubrahamanyam, G. Leenendra Chowdary, T.J.V.S.Subrahmanyam Research Scholar, Dept. of ECE, Sasi institute of Technology

More information

Designs with Multiple Clock Domains: Avoiding Clock Skew and Reducing Pattern Count Using DFTAdvisor tm and FastScan tm

Designs with Multiple Clock Domains: Avoiding Clock Skew and Reducing Pattern Count Using DFTAdvisor tm and FastScan tm Technical Publication esigns with Multiple Clock omains: Avoiding Clock Skew and Reducing Pattern Count Using FTAdvisor tm and FastScan tm Mentor Graphics Corporation March 2001 www.mentor.com/dft esigns

More information

Slide Set 14. Design for Testability

Slide Set 14. Design for Testability Slide Set 14 Design for Testability Steve Wilton Dept. of ECE University of British Columbia stevew@ece.ubc.ca Slide Set 14, Page 1 Overview Wolf 4.8, 5.6, 5.7, 8.7 Up to this point in the class, we have

More information

VHDL Implementation of Logic BIST (Built In Self Test) Architecture for Multiplier Circuit for High Test Coverage in VLSI Chips

VHDL Implementation of Logic BIST (Built In Self Test) Architecture for Multiplier Circuit for High Test Coverage in VLSI Chips VHDL Implementation of Logic BIST (Built In Self Test) Architecture for Multiplier Circuit for High Test Coverage in VLSI Chips Pushpraj Singh Tanwar, Priyanka Shrivastava Assistant professor, Dept. of

More information

Gated Driver Tree Based Power Optimized Multi-Bit Flip-Flops

Gated Driver Tree Based Power Optimized Multi-Bit Flip-Flops International Journal of Emerging Engineering Research and Technology Volume 2, Issue 4, July 2014, PP 250-254 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) Gated Driver Tree Based Power Optimized Multi-Bit

More information

LFSRs as Functional Blocks in Wireless Applications Author: Stephen Lim and Andy Miller

LFSRs as Functional Blocks in Wireless Applications Author: Stephen Lim and Andy Miller XAPP22 (v.) January, 2 R Application Note: Virtex Series, Virtex-II Series and Spartan-II family LFSRs as Functional Blocks in Wireless Applications Author: Stephen Lim and Andy Miller Summary Linear Feedback

More information

Final Exam CPSC/ECEN 680 May 2, Name: UIN:

Final Exam CPSC/ECEN 680 May 2, Name: UIN: Final Exam CPSC/ECEN 680 May 2, 2008 Name: UIN: Instructions This exam is closed book. Provide brief but complete answers to the following questions in the space provided, using figures as necessary. Show

More information

Logic Design for Single On-Chip Test Clock Generation for N Clock Domain - Impact on SOC Area and Test Quality

Logic Design for Single On-Chip Test Clock Generation for N Clock Domain - Impact on SOC Area and Test Quality and Communication Technology (IJRECT 6) Vol. 3, Issue 3 July - Sept. 6 ISSN : 38-965 (Online) ISSN : 39-33 (Print) Logic Design for Single On-Chip Test Clock Generation for N Clock Domain - Impact on SOC

More information

Long and Fast Up/Down Counters Pushpinder Kaur CHOUHAN 6 th Jan, 2003

Long and Fast Up/Down Counters Pushpinder Kaur CHOUHAN 6 th Jan, 2003 1 Introduction Long and Fast Up/Down Counters Pushpinder Kaur CHOUHAN 6 th Jan, 2003 Circuits for counting both forward and backward events are frequently used in computers and other digital systems. Digital

More information