Model Solution and marking scheme for Examination Paper EEE305J1: Microcontroller Systems 2004/5 General Observations

Size: px
Start display at page:

Download "Model Solution and marking scheme for Examination Paper EEE305J1: Microcontroller Systems 2004/5 General Observations"

Transcription

1 Model Solution and marking scheme for Examination Paper EEE305J1: Microcontroller Systems 2004/5 General Observations Design questions like A1 below are extremely difficult to mark, not least because there are literally an infinity of answers and the required information may pop up in several places in your script. The student should be careful to maximise his/her marks by clearly setting out all required information and assumptions, and by using aids to clarification, such as task lists, flowcharts and program comments. Time is of the essence with a question like this. Taking the primary mark (ex. bonus) of 60% gives us a total of 110 minutes (just under two hours). Thus a 10 mark section should be completed in around 18 minutes, or 1.8 minutes per mark! Do not write a two-page essay for six marks; it isn t cost effective. Question A1 A dedicated PIC16F84-based microcontroller is to be designed as an intruder alarm to continually monitor the state of four sensors at various points in a building. Each of these acts as a 2-state device, giving a high (logic 1) or low (logic 0) voltage as defined below. The system output is to be a 4-LED array with flashing lights showing which sensor is active and a single siren if any movement sensor is active. You are asked to design the hardware and software which will: Read the bank of four sensors. Activate the appropriate LEDs if sensors are active. Activate the siren alarm for a minimum period of 30 seconds. Repeat the above continually. Pertinent hardware details are: The intruder sensors output a logic 1 when activated. Each LED requires a nominal 10 ma to illuminate. The siren is activated via a relay activated directly from +5V. The PIC16F84 is clocked using a 1 MHz crystal and is powered using a +5V supply. You are required to design both input and output interface for the sensors, LEDs and buzzer/relay and software to implement the scheme. Answers (1) through (3) should be illustrated with a diagram and any necessary Parallel port configuration shown at that point in your answer. 1

2 1. The input interface for the four sensors. 9 minutes...[5 marks] 2. The output interface for the four LEDs. Determine the value of the current limiting resistors and state if your connection illuminates with a logic 0 or logic 1 (low or high). 14 minutes...[8 marks] 3. A single output line to drive the buzzer. 4 minutes...[2 marks] 4. A flow chart or task list and assembly-level coding implementing the software specified below. You can assume that all locations between File h 20 and File h 2F are available for general storage. (a) A main routine to: i. initialize the system; ii. check the state of the sensors continually until an intruder is sensed; iii. flash the appropriate LED(s) on and off at a nominal 1 Hz rate for a total duration of 30 seconds whilst sounding the siren; iv. disable the warning devices and repeat indefinitely; 58 minutes...[32 marks] (b) A subroutine to give a nominal fixed delay of 0.5 s based on the 1 MHz crystal. You must show your calculations for full marks. 23 minutes...[13 marks] For bonus marks: 5. How might you go about expanding the system, say, to cope with up to eight sensors in a zone and up to four zones? 13 minutes...[6 marks] 6. Rather than using discrete LEDs to indicate active sensors, how might you display the sensor number as a decimal digit? What hardware and software changes would have to be made? 7 minutes...[4 marks] Overall Specifications Be sure to understand the question! There are four LEDs and one buzzer to be activated by the PIC and four digital sensors to be read. The most convenient way to do this is to use Port A set to output for the indicators and Port B to read the sensors. Some students used Ports C or D which lost a mark as the PIC16F84 has only a 5-bit Port A and 8-bit Port B! Basically all the software has to do is to continually scan the four sensors connected to Port B. If these do not all read as logic 0 then a loop has to be entered, counting 30 passes. 2

3 In each pass the appropriate LED is turned on for 30 seconds and then off for 30 seconds. After these 30 passes, the sensors are again tested and the process repeated forever. Worked Solution A possible overall circuit is shown in Fig. 1. S3 RA3 S2 RA2 S1 RA1 S0 Intruder sensors RA0 PIC16F84 RB7 Siren RB2 LED3 R RB2 LED2 RB1 LED1 RB0 LED0 Zone display Figure 1: Block diagram of system covering solutions 1.1, 1.2 and Key points are: Connection of sensors to appropriate port lines (Port B[3:0] in the diagram). 2 marks. Explicit statement that Port B is configured as input on Reset or shows TRISB[3:0] set to all 1s. Also shown are the settings of Port B for (2) below. 3 marks. bsf STATUS,RP0 ; Change to Bank 1 by setting bit RP0 in STATUS movlw b ; PortB pins 7 and 3 thru 0 Outputs movwf TRISB ; TRISB set-up movlw b ; PortA[4:0] set to Inputs movwf TRISA ; TRISA set-up bcf STATUS,RP0 ; Back to Bank 0 3

4 2. Key points are: Connection of the LEDs to Port B. LEDs shown with either the anode connected to the port (lit on a high at port pin) or else (one student did this) with cathode to the port pin in which case they will be lit on a zero 2 marks. Use of a series resistor to limit the current. 1 mark. To calculate the current limiting resistors we know that a LED drops around 2 V when conducting and that the PIC outputs are 5 V (actually it drops a little when it supplies 10 ma) when logic 1. Thus V = IR; R = 5 2 = 300Ω. 2 marks Setting up of Port B as shown in (1) above. 3 marks. 3. Key points are: Alarm buzzer connected to a port line Makes pin output (as shown in the listing of (1) above). 1 mark. 1 mark. 4. It is possible to get more than 1 of the entire paper s marks from the software just in 3 this one answer. Thus you ignore software at your peril! Although marks are not specifically allocated for task lists, flowcharts etc. it is strongly recommended that you spend some time putting your thoughts down on paper before doing any coding. Not only does this help clarify your deliberations, but it makes it easier for us to follow your program and give marks. (a) The routine starts by checking the sensors. Although each bit can be checked in turn (using in total four btfsc instructions), the easiest way of doing this is simply to load the entire Port A pattern and clear the upper four bits. If all four lower bits are zero (no intruder) then overall there will be all 0s and the Z flag will be set. If it finds a non-zero situation, then it launches into the flash-light sequence, otherwise it continues on testing again indefinitely. The loop begins by turning on the siren and then setting up a number 30 in a File, which is decremented on each pass through the loop; that is there will be 30 flashes of the appropriate LED(s). The easiest way of illuminating the LEDs corresponding to the non-zero sensors is simply to copy the state of the sensors attached to Port B (which is sitting in the Working register after being ANDed) straight out to Port B. Then bit 7 needs to be set to 1 to turn on the buzzer. Nobody got this, and indeed it depends on making the connections of the sensors to Port B mirror the LEDs connected to Port A. The more general way would be to zero all LEDS and then check each sensor in turn, turning on the appropriate LED if the sensor was 1. After the first 30 seconds, all LEDS (but not the buzzer) are turned off and another 30-second delay called. This is repeated 30 times in all, before the complete main routine is repeated. Here is a possible implementation: 4

5 Initialize ports, etc LEDs and Siren off Sensors? All zero Not zero Siren on Count = 30 Activate LEDS Delay 0.5 seconds Turn off LEDs Delay 0.5 seconds Decrement Count Yes == 0? No Figure 2: Flow chart of a possible intruder alarm. 5

6 cblock h 20 ; Variables COUNT:1, SENSOR:1 endc include "p16f84.inc" ; First initialize ports (QA1(1..3)) ALARM bsf STATUS,RP0 ; Change to Bank 1 movlw b ; RB[3:0] and RB0 Outputs movwf PORTB ; RB[6:4] Inputs movlw b ; Make Port A pins Inputs movwf TRISA ; Do it bcf STATUS,RP0 ; Back to Bank 0 ; Turn everything off MAIN clrf PORTB ; All LEDs and siren off ; INTRUDER movf PORTA,w ; Get state of the sensors andlw b ; Isolate bottom four sensors movwf SENSOR ; and save in memory btfsc STATUS,Z ; Skip if non zero goto INTRUDER ; ELSE try again ; Pass here if intruder detected movlw d 30 ; Set up a loop of 30 seconds movwf COUNT ; Flash LEDs for 30s FLASH movf SENSOR,w ; Get the sensor pattern movwf PORTB ; Activate LEDs bsf PORTB,7 ; and buzzer ; call DELAY_500MS ; for 0.5 s ; clrf PORTB ; Turn off LEDs bsf PORTB,7 ; but not siren ; call DELAY_500MS ; for 0.5s ; decfsz COUNT,f ; Count decremented goto FLASH ; and repeat forever end Key points in the marking scheme were: Program structure/algorithm. Naming variables/header file. Testing for intruder (non-zero bits) at start of main loop. Activating LEDs from sensor pattern. Activating siren throughout 30 s period. Calling up the delay subroutine and hence flashing LEDs. Endless loop structure 8 marks. 1 mark. 4 marks. 4 marks. 4 marks. 7 marks. 4 marks. 6

7 Note: Other possibilities would be to test each sensor in turn and activate LEDs as appropriate or even to place the various activation patterns in a look-up table. (b) A nominal 500 ms delay subroutine can be implemented by using a 2-loop structure, with the inner loop being a 2 ms delay at 1 MHz. The next loop counts 250 times around this inner loop. As the crystal is 1 MHz, then each cycle is 4 µs and so an inner 2 ms delay is possible with the standard structure. ; ***************************************************************** ; * FUNCTION: Delays 500ms with a 1MHz crystal * ; * ENTRY : None * ; * EXIT : DELAY_COUNT = 0, W = 0 * ; ***************************************************************** cblock DELAY_COUNT endc DELAY_500MS movlw d 250 ; Outer loop of 250 passes movwf DELAY_COUNT ; DELAY_2MS movlw K ; Delay constant 1 D_LOOP addlw -1 ; Decrement K btfss STATUS,Z ; to zero K+1 goto D_LOOP ; 2*(K-1) ; decfsz DELAY_COUNT,f ; One more 2ms delay goto DELAY_2MS ; Repeat until 250 passes return ; Core cycles are 1 + K + (K+1) + 2K - 2 = 4K ; With a 1MHz crystal one cycle is 4us, so delay is 4*4K = 16*K = 2000us (2ms) K equ d 125 Key points in the marking scheme were: Loop structure algorithm and implementation of inner core. 3 marks. Outer loop structure. 3 marks. Timing calculation of inner loop for 1 MHz crystal. 6 marks. Use of return for subroutine. 1 2 mark. Locates the File DELAY_COUNT (may be done as part of the main routine). 1 2 mark. As an alternative a triple-loop structure can be used. Bonus marks 5. Student should consider the problem of coping with larger numbers of I/O lines. The PIC16F84 could be replaced by a larger device, such as a PIC16F87. However, even this can only deal with 16 sensors/16 LEDs and one buzzer. 7

8 Use a more efficient display with one LED per zone and a LED for each of eight sensors within a zone (=12) handled by one PIC16F84 and one PIC16F877 to handle 32 sensors with a serial link between devices. 6. Both hardware and software considerations should be considered> Hardware: Use a 7-segment display plus the buzzer connected to Port B. Software: Use a 7-segment look-up table to encode to the appropriate 7-segment pattern. 8

9 Question B2 (a) Software targeted to microcontroller systems is coded either at assembly level or using a high-level language; typically C. Discuss the advantages and disadvantages of each approach. 6 minutes...[3 marks] (b) A certain petrol tank capacity detector uses a digital pressure transducer whose naturally coded 8-bit output can be read by a PIC MCU at Port B and varies linearly from zero (empty, b ) to 255 (full, b ). Four LEDs and a buzzer are connected to Port A to act as a petrol gauge according to the following scheme: Green (Port A, pin 0) : Over 1 2 full Amber (Port A, pin 1) : Over 1 4 and up to 1 2 full 1 Red (Port A, pin 2) : full or under 4 Buzzer (Port A, pin 3) : Under 1 8 full Design and implement a function in the C language that will set up Ports A and B appropriately and activate the indicators as listed. A CCS C compiler reference set is given at the end of the paper. 30 minutes...[17 marks] Solution (a) Key points are: i. Assembly code is a symbolic representation of the natural machine code relevant to the underlying hardware. Advantages: Closeness to hardware can allow efficient (short and fast) code to be written and ease of debugging software-hardware interaction. Easier to access features of processor. Cheaper to translate to machine code compared to a compiler. Disadvantages: Each language is unique to hardware; that is non portable. Not related to the problem; rather to the hardware. ii. High-level code is a language oriented to problem solving. Advantages: High level instructions; e.g. mathematics, which are closer to human thought patterns. Relatively independent of the underlying hardware; i.e. portable, means that skills are transferable between hardware platforms. Quicker to write (more productive), as a high-level instruction is worth several machine instructions. 9

10 Easier to document and thus less error prone and easier to debug problem algorithms. Disadvantages: More expensive to purchase a compiler compared to an assembler, to translate to machine code Code takes up more space and runs slower. Can be difficult to see and debug interaction with hardware. (b) A possible coding would be: #include <16f84.h> /* Always put in this header file */ #byte PORTA = 5 /* Tell the compiler PORTA is File 5 */ #byte FUEL = 6 /* Tells that FUEL can be read from PORTB */ #bit GREEN = PORTA.0 /* GREEN LED is connected to pin RA0 */ #bit AMBER = PORTA.1 /* AMBER LED is connected to pin RA1 */ #bit RED = PORTA.2 /* RED LED is connected to pin RA2 */ #bit BUZZER = PORTA.3 /* BUZZER is connected to pin RA3 */ main() { set_tris_a(0xf0); /* Lower four bits of Port A Outputs */ set_tris_b(0xff); /* Make Port B all bits Inputs */ /* Now do a loop that checks the fuel level and activates LEDS forever */ while(1) /* DO forever */ { if(fuel > 0x80) /* or (FUEL & 0x80) or bit_test(fuel,7) */ {GREEN=1, AMBER=RED=BUZZER=0;} /* Activate LEDS & buzzer as approp*/ else if(fuel > 0x40) {AMBER=1, GREEN=RED=BUZZER=0;} /* Activate LEDS & buzzer as approp*/ else if(fuel > 0x20) {RED=1, GREEN=AMBER=BUZZER=0;} /* Activate LEDS & buzzer as approp*/ else {RED=BUZZER=1; GREEN=AMBER=0;} } /* End of forever loop */ } /* End of main() */ Basically all you do is to check each level of Fuel (read in at Port B) in turn and activate the appropriate pins. As defined using #bit, simply equate the named bit as 0 (for Low pin) and 1 (for High pin). Marks were given for: Defining the Port locations and individual bits using #byte and #bit directives. 2 marks. Setting up the Port A and Port B pin directions using set_tris_x() function. 1 mark Endless loop. 2 marks Testing levels or bits using if, else if decision tree 8 marks. Activating correct LEDs and buzzer. 4 marks. 10

11 Question B3 (a) The ASCII code for the letter C is h 43. If this character is to be transmitted using the asynchronous serial protocol at 1200 baud with eight data bits, no parity and one stop bit, draw a waveform annotated clearly with time and voltage, that represents what you would observe monitoring the signal using an oscilloscope from (i) the transmit line from the UART, (ii) the RS232 transmit line. 25 minutes...[14 marks] (b) If a device receiving asynchronous serial data is incapable of processing the incoming data fast enough, several techniques can be employed to allow the receiver to slow down the transmission character rate; these involve either hardware or software handshake techniques. Discuss, with the aid of diagrams either of these methods. 11 minutes...[6 marks] Solution (a) Key points are: 5V 0V Idle Start Least-Significant Bit Most-Significant Bit Stop Idle Figure 3: Waveform transmitting the ASCII code for the letter C. Start bit going to logic 0 in an idling line. 1.5 marks. Least-Significant Bit (LSB) follows and then each bit upwards to Most-Significant Bit (MSB); that is, a reversal of the normal way of writing a number. 3.5 marks. The frame terminates with a Stop bit and then line idles. 1.5 marks. Each bit takes the same time, which in the case of this example is seconds, giving 833 µs per bit. 3.5 marks. There are ten bit minimum in all, so the character rate is 120 characters per second. 3.5 marks. (b) Key points are: 11

12 TX RX Logic levels Transmission path Logic levels 0/5V RS232 levels ±12V 0/5V +12V 0V -12V Idle Start Least-Significant Bit Time Most-Significant Bit Stop Idle Figure 4: RS-232 transmission for the ASCII code for the letter C. Uses bipolar voltage levels at transmitter between ±12 V through ±15 V with respect to local ground. At receiver the signals should be at least ±3 V with respect to local ground voltage. 2 marks Voltage polarity are V for logic 1 and +V for logic 0 (that is voltage reversal). 2 marks. (c) Hardware handshaking uses additional lines to the data line(s) to check that the Start transmission Assert RTS line No CTS line active? Yes Send a character More characters? Yes No Deassert RTS line End of transmission Figure 5: Hardware RS-232 handshake. 12

13 modem or receiver device is ready to perform it s duties. In the simplest case two lines are used. RTS is activated by the transmitter to tell the device at the far end that that it is Ready To Transmit. When the receiver is ready to accept data, it asserts its CTS Clear To Send line. Note: Both handshake are active on logic 0 and in a RS-232 system this means a positive voltage for active and negative voltage for inactive. A task list would be: i. Transmitter asserts its RTS line. ii. Wait until the receiver s CTS line is active. iii. Send one frame. iv. If transmission is not finished then go to ii. v. Transmitter de-asserts its RTS line. Alternatively where the link is duplex; that is the transmitter can send data and receive data from the far end then software handshaking may be used. In this case two control characters are used by the receiver to tell the transmitter whether it is ready to accept its data or not. XON ([CONTROL-S] from the keyboard or ASCII h 11 ) means "I am ready to receive" and XOFF ([CONTROL-Q] from the keyboard or ASCII h 13 ) means "I am not ready". Start transmission Read character from receiver Read character from receiver Yes Was it XOFF? No No Was it XON? Yes Send a character More characters? Yes No End of transmission Figure 6: Software RS-232 handshake. A task list for the transmitter would be: 13

14 i. Have I received an XOFF character? IF not THEN goto iii. ii. Keep listening for an XON character. iii. Send a data character to the receiver. iv. If transmission is not finished THEN go to i. v. End of transmission. Hardware is much more reliable and faster, but needs two special lines for the handshake. Question B4 (a) Digital to analog convertor (DAC)s are used to convert a digital word to an analogue equivalent. Thus an 8-bit DAC can transform a digital byte to one of 256 possible levels. Describe one method of conversion. 11 minutes...[6 marks] (b) An 8-bit DAC is to be used to convert varying analog signals to their digital numerical equivalent. This analog input is to be connected to one input of an analog comparator whilst the other is derived from Port B of a PIC MCU via an 8-bit DAC. The output of the comparator is connected to pin RA0 of Port A. Illustrate how this circuit may be used to convert from analog to digital in eight steps. Show how you could implement this successive approximation algorithm as a subroutine returning with an 8-bit digitized equivalent of the input analog voltage. 25 minutes...[14 marks] Solution (a) A natural binary word is weighted in powers of two. That is, amplifying each bit by a relevant factor 2 n will convert a natural binary pattern into its analog equivalent. For instance: b 7 b 6 b 5 b 4 b 3 b 2 b 1 b 0 R 2R 4R 8R 16R 32R 64R 128R - + R V out Figure 7: An 8-bit weighted-resistor digital-to-digital converter. 14

15 In Fig. 7 the gain of each of the eight inputs b n is R 2 n R. Each value of R in doubles going up from b 7 (gain is 1) to b 0 (gain 1 ). All amplified inputs are added 128 together and thus b 7 is worth 128 times that of b 0. Marks given for concept of weighting, summation and correct values. (b) The concept of successive approximation as a directed trial and error process, starting with half scale and working downwards. DAC RB7 RB6 RB5 RB4 RB3 RB2 RB1 RB0 - + V in RA0 Figure 8: An 8-bit successive approximation analog-to-digital converter. Figure 8 shows the hardware configuration. A digital port generates the series of trial digital patterns, starting with b This is connected to a digital-toanalog converter, which transforms the pattern to its analog equivalent. This is compared to the analog input voltage and the outcome (higher or lower) is read from the comparator (logic 1 if V in > trial, else logic 0). Starting from the MS bit, each bit is set in software in turn, working down in significance. If the converted trial voltage is higher than V in, as read at pin RA0, then the last trial bit is zeroed else it is kept. A possible subroutine to do this trial sequence would be: CONVERT movlw b ; Initial walking bit pattern movwf PATTERN ; Put away in a File called PATTERN clrf TRIAL ; TRIAL is where the digitized value is built up ; Now DO the eight tries and build up the trial digitized value bit by bit LOOP movf TRIAL,w ; Get partial value iorwf PATTERN,w ; Add walking bit to this partial value movwf PORTB ; Send out to the DAC to convert to analog nop ; Short delay to allow circuits to settle nop ; Now check out if resulting analog voltage is too high? 15

16 btfsc PORTA,0 ; Check comparator output. Skip if too high movwf TRIAL ; ELSE trail is too low, so keep new bit ; Now generate the next walking bit pattern; e.g > > bcf STATUS,C ; Shift pattern right, but first clear Carry flag rrf PATTERN,f ; and then shift ; If a 1 pops out, THEN the eight tests are complete btfss STATUS,C ; Now check if 1 pops out into the carry flag goto LOOP ; IF not THEN do the next estimate return ; All done; answer in File TRIAL Marks for: Description of the successive approximation process. Diagram showing the hardware (Fig. 8). Software implementation. 4 marks. 4 marks. 6 marks. eee305j2_first_solution_04.tex L A T E X April 27,

Embedded Systems. Interfacing PIC with external devices 7-Segment display. Eng. Anis Nazer Second Semester

Embedded Systems. Interfacing PIC with external devices 7-Segment display. Eng. Anis Nazer Second Semester Embedded Systems Interfacing PIC with external devices 7-Segment display Eng. Anis Nazer Second Semester 2017-2018 PIC interfacing In any embedded system, the microcontroller should be connected to other

More information

Embedded Systems. Interfacing PIC with external devices 7-Segment display. Eng. Anis Nazer Second Semester

Embedded Systems. Interfacing PIC with external devices 7-Segment display. Eng. Anis Nazer Second Semester Embedded Systems Interfacing PIC with external devices 7-Segment display Eng. Anis Nazer Second Semester 2016-2017 PIC interfacing The PIC needs to be connected to other devices such as: LEDs Switches

More information

Experiment 3: Basic Embedded System Analysis and Design

Experiment 3: Basic Embedded System Analysis and Design University of Jordan Faculty of Engineering and Technology Department of Computer Engineering Embedded Systems Laboratory 0907334 3 Experiment 3: Basic Embedded System Analysis and Design Objectives Empowering

More information

EXPERIMENT 2: Elementary Input Output Programming

EXPERIMENT 2: Elementary Input Output Programming EXPERIMENT 2: Elementary Input Output Programming Objectives Introduction to the Parallel Input/Output (I/O) Familiarization to Interfacing with digital inputs and outputs such as switches, LEDs and 7-segment.

More information

Chapter 11 Sections 1 3 Dr. Iyad Jafar

Chapter 11 Sections 1 3 Dr. Iyad Jafar Data Acquisition and Manipulation Chapter 11 Sections 1 3 Dr. Iyad Jafar Outline Analog and Digital Quantities The Analog to Digital Converter Features of Analog to Digital Converter The Data Acquisition

More information

Distance, Velocity and Acceleration Detection

Distance, Velocity and Acceleration Detection Distance, Velocity and Acceleration Detection Andrew Walma and Scott Duong Abstract For this project we constructed a device that will measure the distance of an object using a high frequency transmitter

More information

Introduction to PIC Programming

Introduction to PIC Programming Introduction to PIC Programming Baseline Architecture and Assembly Language by David Meiklejohn, Gooligum Electronics Lesson 10: Analog-to-Digital Conversion We saw in the last lesson how a comparator

More information

Keyboard Controlled Scoreboard

Keyboard Controlled Scoreboard Universities Research Journal 2011, Vol. 4, No. 4 Keyboard Controlled Scoreboard Kyaw Hlaing 1 and Win Swe 2 Abstract The objective of this research work is to design a keyboard controlled scoreboard that

More information

TV Character Generator

TV Character Generator TV Character Generator TV CHARACTER GENERATOR There are many ways to show the results of a microcontroller process in a visual manner, ranging from very simple and cheap, such as lighting an LED, to much

More information

Laboratory Exercise 4

Laboratory Exercise 4 Laboratory Exercise 4 Polling and Interrupts The purpose of this exercise is to learn how to send and receive data to/from I/O devices. There are two methods used to indicate whether or not data can be

More information

Decade Counters Mod-5 counter: Decade Counter:

Decade Counters Mod-5 counter: Decade Counter: Decade Counters We can design a decade counter using cascade of mod-5 and mod-2 counters. Mod-2 counter is just a single flip-flop with the two stable states as 0 and 1. Mod-5 counter: A typical mod-5

More information

TV Synchronism Generation with PIC Microcontroller

TV Synchronism Generation with PIC Microcontroller TV Synchronism Generation with PIC Microcontroller With the widespread conversion of the TV transmission and coding standards, from the early analog (NTSC, PAL, SECAM) systems to the modern digital formats

More information

ECE 372 Microcontroller Design

ECE 372 Microcontroller Design E.g. Port A, Port B Used to interface with many devices Switches LEDs LCD Keypads Relays Stepper Motors Interface with digital IO requires us to connect the devices correctly and write code to interface

More information

Combo Board.

Combo Board. Combo Board www.matrixtsl.com EB083 Contents About This Document 2 General Information 3 Board Layout 4 Testing This Product 5 Circuit Diagram 6 Liquid Crystal Display 7 Sensors 9 Circuit Diagram 10 About

More information

Chapter 3: Sequential Logic Systems

Chapter 3: Sequential Logic Systems Chapter 3: Sequential Logic Systems 1. The S-R Latch Learning Objectives: At the end of this topic you should be able to: design a Set-Reset latch based on NAND gates; complete a sequential truth table

More information

Low-speed serial buses are used in wide variety of electronics products. Various low-speed buses exist in different

Low-speed serial buses are used in wide variety of electronics products. Various low-speed buses exist in different Low speed serial buses are widely used today in mixed-signal embedded designs for chip-to-chip communication. Their ease of implementation, low cost, and ties with legacy design blocks make them ideal

More information

EEM Digital Systems II

EEM Digital Systems II ANADOLU UNIVERSITY DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING EEM 334 - Digital Systems II LAB 3 FPGA HARDWARE IMPLEMENTATION Purpose In the first experiment, four bit adder design was prepared

More information

ET-REMOTE DISTANCE. Manual of ET-REMOTE DISTANCE

ET-REMOTE DISTANCE. Manual of ET-REMOTE DISTANCE ET-REMOTE DISTANCE ET-REMOTE DISTANCE is Distance Measurement Module by Ultrasonic Waves; it consists of 2 important parts. Firstly, it is the part of Board Ultrasonic (HC-SR04) that includes sender and

More information

IT T35 Digital system desigm y - ii /s - iii

IT T35 Digital system desigm y - ii /s - iii UNIT - III Sequential Logic I Sequential circuits: latches flip flops analysis of clocked sequential circuits state reduction and assignments Registers and Counters: Registers shift registers ripple counters

More information

Analogue Versus Digital [5 M]

Analogue Versus Digital [5 M] Q.1 a. Analogue Versus Digital [5 M] There are two basic ways of representing the numerical values of the various physical quantities with which we constantly deal in our day-to-day lives. One of the ways,

More information

Training Note TR-06RD. Schedules. Schedule types

Training Note TR-06RD. Schedules. Schedule types Schedules General operation of the DT80 data loggers centres on scheduling. Schedules determine when various processes are to occur, and can be triggered by the real time clock, by digital or counter events,

More information

Interfacing Analog to Digital Data Converters. A/D D/A Converter 1

Interfacing Analog to Digital Data Converters. A/D D/A Converter 1 Interfacing Analog to Digital Data Converters A/D D/A Converter 1 In most of the cases, the PPI 8255 is used for interfacing the analog to digital converters with microprocessor. The analog to digital

More information

MECE336 Microprocessors I

MECE336 Microprocessors I MECE336 Microprocessors I Lecture 9 Subtraction and Lookup Tables Associate Prof. Dr. Klaus Werner Schmidt of Mechatronics Engineering Çankaya University Compulsory Course in Mechatronics Engineering Credits

More information

Chapter 4. Logic Design

Chapter 4. Logic Design Chapter 4 Logic Design 4.1 Introduction. In previous Chapter we studied gates and combinational circuits, which made by gates (AND, OR, NOT etc.). That can be represented by circuit diagram, truth table

More information

Digital Audio Design Validation and Debugging Using PGY-I2C

Digital Audio Design Validation and Debugging Using PGY-I2C Digital Audio Design Validation and Debugging Using PGY-I2C Debug the toughest I 2 S challenges, from Protocol Layer to PHY Layer to Audio Content Introduction Today s digital systems from the Digital

More information

WINTER 15 EXAMINATION Model Answer

WINTER 15 EXAMINATION Model Answer Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme. 2) The model answer and the answer written by candidate

More information

On-site reprogrammable beacon keyer

On-site reprogrammable beacon keyer On-site reprogrammable beacon keyer Includes Analogue Version Andy Talbot G4JNT/G8IMR March 2011 - New QRSS version. See Annex 1 Overview The beacon keyer is a small module that generates pre-stored CW

More information

Point System (for instructor and TA use only)

Point System (for instructor and TA use only) EEL 4744C - Drs. George and Gugel Spring Semester 2002 Final Exam NAME SS# Closed book and closed notes examination to be done in pencil. Calculators are permitted. All work and solutions are to be written

More information

Viewing Serial Data on the Keysight Oscilloscopes

Viewing Serial Data on the Keysight Oscilloscopes Ming Hsieh Department of Electrical Engineering EE 109L - Introduction to Embedded Systems Viewing Serial Data on the Keysight Oscilloscopes by Allan G. Weber 1 Introduction The four-channel Keysight (ex-agilent)

More information

RF4432 wireless transceiver module

RF4432 wireless transceiver module RF4432 wireless transceiver module 1. Description RF4432 adopts Silicon Lab Si4432 RF chip, which is a highly integrated wireless ISM band transceiver. The features of high sensitivity (-121 dbm), +20

More information

SignalTap Plus System Analyzer

SignalTap Plus System Analyzer SignalTap Plus System Analyzer June 2000, ver. 1 Data Sheet Features Simultaneous internal programmable logic device (PLD) and external (board-level) logic analysis 32-channel external logic analyzer 166

More information

SPI Serial Communication and Nokia 5110 LCD Screen

SPI Serial Communication and Nokia 5110 LCD Screen 8 SPI Serial Communication and Nokia 5110 LCD Screen 8.1 Objectives: Many devices use Serial Communication to communicate with each other. The advantage of serial communication is that it uses relatively

More information

MODULE 3. Combinational & Sequential logic

MODULE 3. Combinational & Sequential logic MODULE 3 Combinational & Sequential logic Combinational Logic Introduction Logic circuit may be classified into two categories. Combinational logic circuits 2. Sequential logic circuits A combinational

More information

CS 254 DIGITAL LOGIC DESIGN. Universal Asynchronous Receiver/Transmitter

CS 254 DIGITAL LOGIC DESIGN. Universal Asynchronous Receiver/Transmitter CS 254 DIGITAL LOGIC DESIGN Universal Asynchronous Receiver/Transmitter Team Members 1. 130050001: Ghurye Sourabh Sunil 2. 130050023: Nikhil Vyas 3. 130050037: Utkarsh Mall 4. 130050038: Mayank Sahu 5.

More information

Introduction to Mechatronics. Fall Instructor: Professor Charles Ume. Analog to Digital Converter

Introduction to Mechatronics. Fall Instructor: Professor Charles Ume. Analog to Digital Converter ME6405 Introduction to Mechatronics Fall 2006 Instructor: Professor Charles Ume Analog to Digital Converter Analog and Digital Signals Analog signals have infinite states available mercury thermometer

More information

Microcontrollers and Interfacing week 7 exercises

Microcontrollers and Interfacing week 7 exercises SERIL TO PRLLEL CONVERSION Serial to parallel conversion Microcontrollers and Interfacing week exercises Using many LEs (e.g., several seven-segment displays or bar graphs) is difficult, because only a

More information

Lab #10: Building Output Ports with the 6811

Lab #10: Building Output Ports with the 6811 1 Tiffany Q. Liu April 11, 2011 CSC 270 Lab #10 Lab #10: Building Output Ports with the 6811 Introduction The purpose of this lab was to build a 1-bit as well as a 2-bit output port with the 6811 training

More information

UNIT V 8051 Microcontroller based Systems Design

UNIT V 8051 Microcontroller based Systems Design UNIT V 8051 Microcontroller based Systems Design INTERFACING TO ALPHANUMERIC DISPLAYS Many microprocessor-controlled instruments and machines need to display letters of the alphabet and numbers. Light

More information

University of Pennsylvania Department of Electrical and Systems Engineering. Digital Design Laboratory. Lab8 Calculator

University of Pennsylvania Department of Electrical and Systems Engineering. Digital Design Laboratory. Lab8 Calculator University of Pennsylvania Department of Electrical and Systems Engineering Digital Design Laboratory Purpose Lab Calculator The purpose of this lab is: 1. To get familiar with the use of shift registers

More information

Module -5 Sequential Logic Design

Module -5 Sequential Logic Design Module -5 Sequential Logic Design 5.1. Motivation: In digital circuit theory, sequential logic is a type of logic circuit whose output depends not only on the present value of its input signals but on

More information

Data Conversion and Lab (17.368) Fall Lecture Outline

Data Conversion and Lab (17.368) Fall Lecture Outline Data Conversion and Lab (17.368) Fall 2013 Lecture Outline Class # 11 November 14, 2013 Dohn Bowden 1 Today s Lecture Outline Administrative Detailed Technical Discussions Lab Microcontroller and Sensors

More information

PHYS 3322 Modern Laboratory Methods I Digital Devices

PHYS 3322 Modern Laboratory Methods I Digital Devices PHYS 3322 Modern Laboratory Methods I Digital Devices Purpose This experiment will introduce you to the basic operating principles of digital electronic devices. Background These circuits are called digital

More information

Dynamic Animation Cube Group 1 Joseph Clark Michael Alberts Isaiah Walker Arnold Li

Dynamic Animation Cube Group 1 Joseph Clark Michael Alberts Isaiah Walker Arnold Li Dynamic Animation Cube Group 1 Joseph Clark Michael Alberts Isaiah Walker Arnold Li Sponsored by: Department of Electrical Engineering & Computer Science at UCF What is the DAC? The DAC is an array of

More information

Vorne Industries. 87/719 Analog Input Module User's Manual Industrial Drive Itasca, IL (630) Telefax (630)

Vorne Industries. 87/719 Analog Input Module User's Manual Industrial Drive Itasca, IL (630) Telefax (630) Vorne Industries 87/719 Analog Input Module User's Manual 1445 Industrial Drive Itasca, IL 60143-1849 (630) 875-3600 Telefax (630) 875-3609 . 3 Chapter 1 Introduction... 1.1 Accessing Wiring Connections

More information

Logic Devices for Interfacing, The 8085 MPU Lecture 4

Logic Devices for Interfacing, The 8085 MPU Lecture 4 Logic Devices for Interfacing, The 8085 MPU Lecture 4 1 Logic Devices for Interfacing Tri-State devices Buffer Bidirectional Buffer Decoder Encoder D Flip Flop :Latch and Clocked 2 Tri-state Logic Outputs

More information

Flip Flop. S-R Flip Flop. Sequential Circuits. Block diagram. Prepared by:- Anwar Bari

Flip Flop. S-R Flip Flop. Sequential Circuits. Block diagram. Prepared by:- Anwar Bari Sequential Circuits The combinational circuit does not use any memory. Hence the previous state of input does not have any effect on the present state of the circuit. But sequential circuit has memory

More information

Embedded System Training Module ABLab Solutions

Embedded System Training Module ABLab Solutions Embedded System Training Module ABLab Solutions www.ablab.in Table of Contents Course Outline... 4 1. Introduction to Embedded Systems... 4 2. Overview of Basic Electronics... 4 3. Overview of Digital

More information

SEQUENTIAL LOGIC. Satish Chandra Assistant Professor Department of Physics P P N College, Kanpur

SEQUENTIAL LOGIC. Satish Chandra Assistant Professor Department of Physics P P N College, Kanpur SEQUENTIAL LOGIC Satish Chandra Assistant Professor Department of Physics P P N College, Kanpur www.satish0402.weebly.com OSCILLATORS Oscillators is an amplifier which derives its input from output. Oscillators

More information

LAX_x Logic Analyzer

LAX_x Logic Analyzer Legacy documentation LAX_x Logic Analyzer Summary This core reference describes how to place and use a Logic Analyzer instrument in an FPGA design. Core Reference CR0103 (v2.0) March 17, 2008 The LAX_x

More information

TSIU03, SYSTEM DESIGN. How to Describe a HW Circuit

TSIU03, SYSTEM DESIGN. How to Describe a HW Circuit TSIU03 TSIU03, SYSTEM DESIGN How to Describe a HW Circuit Sometimes it is difficult for students to describe a hardware circuit. This document shows how to do it in order to present all the relevant information

More information

Triple RTD. On-board Digital Signal Processor. Linearization RTDs 20 Hz averaged outputs 16-bit precision comparator function.

Triple RTD. On-board Digital Signal Processor. Linearization RTDs 20 Hz averaged outputs 16-bit precision comparator function. Triple RTD SMART INPUT MODULE State-of-the-art Electromagnetic Noise Suppression Circuitry. Ensures signal integrity even in harsh EMC environments. On-board Digital Signal Processor. Linearization RTDs

More information

Chapter 9 MSI Logic Circuits

Chapter 9 MSI Logic Circuits Chapter 9 MSI Logic Circuits Chapter 9 Objectives Selected areas covered in this chapter: Analyzing/using decoders & encoders in circuits. Advantages and disadvantages of LEDs and LCDs. Observation/analysis

More information

Technology Control Technology

Technology Control Technology L e a v i n g C e r t i f i c a t e Technology Control Technology P I C A X E 1 8 X Prog. 1.SOUND Output Prog. 3 OUTPUT & WAIT Prog. 6 LOOP Prog. 7...Seven Segment Display Prog. 8...Single Traffic Light

More information

Digital (5hz to 500 Khz) Frequency-Meter

Digital (5hz to 500 Khz) Frequency-Meter Digital (5hz to 500 Khz) Frequency-Meter Posted on April 4, 2008, by Ibrahim KAMAL, in Sensor & Measurement, tagged Based on the famous AT89C52 microcontroller, this 500 Khz frequency-meter will be enough

More information

The Micropython Microcontroller

The Micropython Microcontroller Please do not remove this manual from the lab. It is available via Canvas Electronics Aims of this experiment Explore the capabilities of a modern microcontroller and some peripheral devices. Understand

More information

CATHODE RAY OSCILLOSCOPE. Basic block diagrams Principle of operation Measurement of voltage, current and frequency

CATHODE RAY OSCILLOSCOPE. Basic block diagrams Principle of operation Measurement of voltage, current and frequency CATHODE RAY OSCILLOSCOPE Basic block diagrams Principle of operation Measurement of voltage, current and frequency 103 INTRODUCTION: The cathode-ray oscilloscope (CRO) is a multipurpose display instrument

More information

Introduction to Serial I/O

Introduction to Serial I/O CS/ECE 6780/5780 Al Davis Serial I/O Today s topics: general concepts in preparation for Lab 8 1 CS 5780 Introduction to Serial I/O 2 CS 5780 Page 1 A Serial Channel 3 CS 5780 Definitions 4 CS 5780 Page

More information

Page 1. Introduction to Serial I/O. Definitions. A Serial Channel CS/ECE 6780/5780. Al Davis. Today s topics: Serial I/O

Page 1. Introduction to Serial I/O. Definitions. A Serial Channel CS/ECE 6780/5780. Al Davis. Today s topics: Serial I/O Introduction to Serial I/O CS/ECE 6780/5780 Al Davis Serial I/O Today s topics: general concepts in preparation for Lab 8 1 CS 5780 2 CS 5780 A Serial Channel Definitions 3 CS 5780 4 CS 5780 Page 1 Bandwidth

More information

International Islamic University Chittagong (IIUC) Department of Electrical and Electronic Engineering (EEE)

International Islamic University Chittagong (IIUC) Department of Electrical and Electronic Engineering (EEE) International Islamic University Chittagong (IIUC) Department of Electrical and Electronic Engineering (EEE) Course Code: EEE 3518 Course Title: Embedded System Sessional EXPERIMENT NO. 8 Name of the Experiment:

More information

C200H-AD002/DA002 Analog I/O Units Operation Guide

C200H-AD002/DA002 Analog I/O Units Operation Guide C200H-AD002/DA002 Analog I/O Units Operation Guide Revised September 1995 Notice: OMRON products are manufactured for use according to proper procedures by a qualified operator and only for the purposes

More information

Noise Detector ND-1 Operating Manual

Noise Detector ND-1 Operating Manual Noise Detector ND-1 Operating Manual SPECTRADYNAMICS, INC 1849 Cherry St. Unit 2 Louisville, CO 80027 Phone: (303) 665-1852 Fax: (303) 604-6088 Table of Contents ND-1 Description...... 3 Safety and Preparation

More information

Rfid Based Attendance System

Rfid Based Attendance System Rfid Based Attendance System Raj Kumar Mistri 1, Kamlesh Kishore 2, Priyanka Nidhi 3, Pushpakumari 4, Vikrantkumar 5 1, 2 Assistant Professor, 3,4,5 B.Tech Scholar 1,2,3,4,5 Dept. of ECE, RTC Institute

More information

Serial Remote Control of the RX2 SERIAL REMOTE CONTROL FOR THE RX2

Serial Remote Control of the RX2 SERIAL REMOTE CONTROL FOR THE RX2 SERIAL REMOTE CONTROL FOR THE RX2 Version 2.0 1 May 2005 RIG Updated May 2005 to support NOAA-18 Version 2.0 2 May 2005 Introduction Some years ago, Max Hadley published an article detailing how enthusiasts

More information

Computer Architecture Basic Computer Organization and Design

Computer Architecture Basic Computer Organization and Design After the fetch and decode phase, PC contains 31, which is the address of the next instruction in the program (the return address). The register AR holds the effective address 170 [see figure 6.10(a)].

More information

EECS145M 2000 Midterm #1 Page 1 Derenzo

EECS145M 2000 Midterm #1 Page 1 Derenzo UNIVERSITY OF CALIFORNIA College of Engineering Electrical Engineering and Computer Sciences Department EECS 145M: Microcomputer Interfacing Laboratory Spring Midterm #1 (Closed book- calculators OK) Wednesday,

More information

Contents Circuits... 1

Contents Circuits... 1 Contents Circuits... 1 Categories of Circuits... 1 Description of the operations of circuits... 2 Classification of Combinational Logic... 2 1. Adder... 3 2. Decoder:... 3 Memory Address Decoder... 5 Encoder...

More information

Design and Implementation of Timer, GPIO, and 7-segment Peripherals

Design and Implementation of Timer, GPIO, and 7-segment Peripherals Design and Implementation of Timer, GPIO, and 7-segment Peripherals 1 Module Overview Learn about timers, GPIO and 7-segment display; Design and implement an AHB timer, a GPIO peripheral, and a 7-segment

More information

Digital Logic Design: An Overview & Number Systems

Digital Logic Design: An Overview & Number Systems Digital Logic Design: An Overview & Number Systems Analogue versus Digital Most of the quantities in nature that can be measured are continuous. Examples include Intensity of light during the day: The

More information

AC103/AT103 ANALOG & DIGITAL ELECTRONICS JUN 2015

AC103/AT103 ANALOG & DIGITAL ELECTRONICS JUN 2015 Q.2 a. Draw and explain the V-I characteristics (forward and reverse biasing) of a pn junction. (8) Please refer Page No 14-17 I.J.Nagrath Electronic Devices and Circuits 5th Edition. b. Draw and explain

More information

TABLE OF CONTENTS. Instructions:

TABLE OF CONTENTS. Instructions: TABLE OF CONTENTS Instructions: 1 Overview 1 2 Main technical parameters 1 3 Display and keyboard 2 3.1 Display Window 2 3.2 Indicator 4 4. Operation 4 4.1 Power 4 4.2 Zero 4 Modified 4 4.3 Modified 4

More information

VOB - data over Video Overlay Box

VOB - data over Video Overlay Box VOB - data over Video Overlay Box Real time data overlayed onto video, both PAL and NTSC versions available Real time lap and sector times without a track side optical beacon User configurable display,

More information

8 DIGITAL SIGNAL PROCESSOR IN OPTICAL TOMOGRAPHY SYSTEM

8 DIGITAL SIGNAL PROCESSOR IN OPTICAL TOMOGRAPHY SYSTEM Recent Development in Instrumentation System 99 8 DIGITAL SIGNAL PROCESSOR IN OPTICAL TOMOGRAPHY SYSTEM Siti Zarina Mohd Muji Ruzairi Abdul Rahim Chiam Kok Thiam 8.1 INTRODUCTION Optical tomography involves

More information

FPGA Laboratory Assignment 4. Due Date: 06/11/2012

FPGA Laboratory Assignment 4. Due Date: 06/11/2012 FPGA Laboratory Assignment 4 Due Date: 06/11/2012 Aim The purpose of this lab is to help you understanding the fundamentals of designing and testing memory-based processing systems. In this lab, you will

More information

B. The specified product shall be manufactured by a firm whose quality system is in compliance with the I.S./ISO 9001/EN 29001, QUALITY SYSTEM.

B. The specified product shall be manufactured by a firm whose quality system is in compliance with the I.S./ISO 9001/EN 29001, QUALITY SYSTEM. VideoJet 8000 8-Channel, MPEG-2 Encoder ARCHITECTURAL AND ENGINEERING SPECIFICATION Section 282313 Closed Circuit Video Surveillance Systems PART 2 PRODUCTS 2.01 MANUFACTURER A. Bosch Security Systems

More information

Discrete Logic Replacement Melody Player

Discrete Logic Replacement Melody Player Melody Player Author: Slav Slavov Sliven email: ell@sliven.osf.acad.bg Flow Chart: begin APPLICATION OPERATION : This application generates a melody. It was a little bit difficult to place the tables because

More information

Tutorial Introduction

Tutorial Introduction Tutorial Introduction PURPOSE - To explain how to configure and use the in common applications OBJECTIVES: - Identify the steps to set up and configure the. - Identify techniques for maximizing the accuracy

More information

Table of Contents Introduction

Table of Contents Introduction Page 1/9 Waveforms 2015 tutorial 3-Jan-18 Table of Contents Introduction Introduction to DAD/NAD and Waveforms 2015... 2 Digital Functions Static I/O... 2 LEDs... 2 Buttons... 2 Switches... 2 Pattern Generator...

More information

Logic Design Viva Question Bank Compiled By Channveer Patil

Logic Design Viva Question Bank Compiled By Channveer Patil Logic Design Viva Question Bank Compiled By Channveer Patil Title of the Practical: Verify the truth table of logic gates AND, OR, NOT, NAND and NOR gates/ Design Basic Gates Using NAND/NOR gates. Q.1

More information

RF4432F27 wireless transceiver module

RF4432F27 wireless transceiver module RF4432F27 wireless transceiver module 1. Description RF4432F27 is 500mW RF module embedded with amplifier and LNA circuit. High quality of component, tightened inspection and long term test make this module

More information

(Refer Slide Time: 2:00)

(Refer Slide Time: 2:00) Digital Circuits and Systems Prof. Dr. S. Srinivasan Department of Electrical Engineering Indian Institute of Technology, Madras Lecture #21 Shift Registers (Refer Slide Time: 2:00) We were discussing

More information

Solution to Digital Logic )What is the magnitude comparator? Design a logic circuit for 4 bit magnitude comparator and explain it,

Solution to Digital Logic )What is the magnitude comparator? Design a logic circuit for 4 bit magnitude comparator and explain it, Solution to Digital Logic -2067 Solution to digital logic 2067 1.)What is the magnitude comparator? Design a logic circuit for 4 bit magnitude comparator and explain it, A Magnitude comparator is a combinational

More information

Kramer Electronics, Ltd. USER MANUAL. Models: VS-162AV, 16x16 Audio-Video Matrix Switcher VS-162AVRCA, 16x16 Audio-Video Matrix Switcher

Kramer Electronics, Ltd. USER MANUAL. Models: VS-162AV, 16x16 Audio-Video Matrix Switcher VS-162AVRCA, 16x16 Audio-Video Matrix Switcher Kramer Electronics, Ltd. USER MANUAL Models: VS-162AV, 16x16 Audio-Video Matrix Switcher VS-162AVRCA, 16x16 Audio-Video Matrix Switcher Contents Contents 1 Introduction 1 2 Getting Started 1 3 Overview

More information

FLIP-FLOPS AND RELATED DEVICES

FLIP-FLOPS AND RELATED DEVICES C H A P T E R 5 FLIP-FLOPS AND RELATED DEVICES OUTLINE 5- NAND Gate Latch 5-2 NOR Gate Latch 5-3 Troubleshooting Case Study 5-4 Digital Pulses 5-5 Clock Signals and Clocked Flip-Flops 5-6 Clocked S-R Flip-Flop

More information

INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) APPLIANCE SWITCHING USING EYE MOVEMENT FOR PARALYZED PEOPLE

INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) APPLIANCE SWITCHING USING EYE MOVEMENT FOR PARALYZED PEOPLE INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) International Journal of Electronics and Communication Engineering & Technology (IJECET), ISSN 0976 ISSN 0976 6464(Print)

More information

16 Stage Bi-Directional LED Sequencer

16 Stage Bi-Directional LED Sequencer 16 Stage Bi-Directional LED Sequencer The bi-directional sequencer uses a 4 bit binary up/down counter (CD4516) and two "1 of 8 line decoders" (74HC138 or 74HCT138) to generate the popular "Night Rider"

More information

4.9 BEAM BLANKING AND PULSING OPTIONS

4.9 BEAM BLANKING AND PULSING OPTIONS 4.9 BEAM BLANKING AND PULSING OPTIONS Beam Blanker BNC DESCRIPTION OF BLANKER CONTROLS Beam Blanker assembly Electron Gun Controls Blanker BNC: An input BNC on one of the 1⅓ CF flanges on the Flange Multiplexer

More information

Serial Peripheral Interface

Serial Peripheral Interface Serial Peripheral Interface ECE 362 https://engineering.purdue.edu/ee362/ Rick Reading Assignment Textbook, Chapter 22, Serial Communication Protocols, pp. 527 598 It s a long chapter. Let s first look

More information

EECS 140 Laboratory Exercise 7 PLD Programming

EECS 140 Laboratory Exercise 7 PLD Programming 1. Objectives EECS 140 Laboratory Exercise 7 PLD Programming A. Become familiar with the capabilities of Programmable Logic Devices (PLDs) B. Implement a simple combinational logic circuit using a PLD.

More information

PRINCIPLES AND APPLICATIONS

PRINCIPLES AND APPLICATIONS GENERATION & NETWORK Digital Automation Measuring and Control Devices AMS7000 PROCOM The optimum operation of an electrical network depends particularly on the reliability and the availability of the protection,

More information

Alice EduPad Board. User s Guide Version /11/2017

Alice EduPad Board. User s Guide Version /11/2017 Alice EduPad Board User s Guide Version 1.02 08/11/2017 1 Table OF Contents Chapter 1. Overview... 3 1.1 Welcome... 3 1.2 Launchpad features... 4 1.3 Alice EduPad hardware features... 4 Chapter 2. Software

More information

Lab experience 1: Introduction to LabView

Lab experience 1: Introduction to LabView Lab experience 1: Introduction to LabView LabView is software for the real-time acquisition, processing and visualization of measured data. A LabView program is called a Virtual Instrument (VI) because

More information

ADC Peripheral in Microcontrollers. Petr Cesak, Jan Fischer, Jaroslav Roztocil

ADC Peripheral in Microcontrollers. Petr Cesak, Jan Fischer, Jaroslav Roztocil ADC Peripheral in s Petr Cesak, Jan Fischer, Jaroslav Roztocil Czech Technical University in Prague, Faculty of Electrical Engineering Technicka 2, CZ-16627 Prague 6, Czech Republic Phone: +420-224 352

More information

ORM0022 EHPC210 Universal Controller Operation Manual Revision 1. EHPC210 Universal Controller. Operation Manual

ORM0022 EHPC210 Universal Controller Operation Manual Revision 1. EHPC210 Universal Controller. Operation Manual ORM0022 EHPC210 Universal Controller Operation Manual Revision 1 EHPC210 Universal Controller Operation Manual Associated Documentation... 4 Electrical Interface... 4 Power Supply... 4 Solenoid Outputs...

More information

Introduction. NAND Gate Latch. Digital Logic Design 1 FLIP-FLOP. Digital Logic Design 1

Introduction. NAND Gate Latch.  Digital Logic Design 1 FLIP-FLOP. Digital Logic Design 1 2007 Introduction BK TP.HCM FLIP-FLOP So far we have seen Combinational Logic The output(s) depends only on the current values of the input variables Here we will look at Sequential Logic circuits The

More information

ELCT706 MicroLab Session #3 7-segment LEDs and Analog to Digital Conversion. Eng. Salma Hesham

ELCT706 MicroLab Session #3 7-segment LEDs and Analog to Digital Conversion. Eng. Salma Hesham ELCT706 MicroLab Session #3 7-segment LEDs and Analog to Digital Conversion 7-Segment LED Display g f com a b e d com c P 7-Segment LED Display Common Cathode - Com Pin = Gnd - Active high inputs - Example

More information

V6118 EM MICROELECTRONIC - MARIN SA. 2, 4 and 8 Mutiplex LCD Driver

V6118 EM MICROELECTRONIC - MARIN SA. 2, 4 and 8 Mutiplex LCD Driver EM MICROELECTRONIC - MARIN SA 2, 4 and 8 Mutiplex LCD Driver Description The is a universal low multiplex LCD driver. The version 2 drives two ways multiplex (two blackplanes) LCD, the version 4, four

More information

Kramer Electronics, Ltd. USER MANUAL. Model: VS x 1 Sequential Video Audio Switcher

Kramer Electronics, Ltd. USER MANUAL. Model: VS x 1 Sequential Video Audio Switcher Kramer Electronics, Ltd. USER MANUAL Model: VS-120 20 x 1 Sequential Video Audio Switcher Contents Contents 1 Introduction 1 2 Getting Started 1 2.1 Quick Start 2 3 Overview 3 4 Installing the VS-120 in

More information

An Enhanced MM MHz Generator

An Enhanced MM MHz Generator An Enhanced MM5369-60 MHz Generator Author: OVERVIEW Jim Nagy London Ontario email: nagy@wwdc.com I call my idea an 'MM5369E' as it represents the equivalent of a 5369 IC plus all the 'glue' necessary

More information

Explorer Edition FUZZY LOGIC DEVELOPMENT TOOL FOR ST6

Explorer Edition FUZZY LOGIC DEVELOPMENT TOOL FOR ST6 fuzzytech ST6 Explorer Edition FUZZY LOGIC DEVELOPMENT TOOL FOR ST6 DESIGN: System: up to 4 inputs and one output Variables: up to 7 labels per input/output Rules: up to 125 rules ON-LINE OPTIMISATION:

More information

Chapter 9 Introduction to Sequential Logic

Chapter 9 Introduction to Sequential Logic Chapter 9 Introduction to Sequential Logic Chapter Objectives Upon successful completion of this chapter, you will be able to: Explain the difference between combinational and sequential circuits. Define

More information