A Literature Review and Over View of Built in Self Testing in VLSI

Size: px
Start display at page:

Download "A Literature Review and Over View of Built in Self Testing in VLSI"

Transcription

1 Volume-5, Issue-4, August-2015 International Journal of Engineering and Management Research Page Number: A Literature Review and Over View of Built in Self Testing in VLSI Jalpa Joshi 1, Prof. Jaikaran Singh 2 1 Electronics & Communication. VLSI, SSSIST, Sehore, INDIA 2 Electronics and Communication, SSSIST, Sehore, INDIA ABSTRACT Now a day due to the technology advancement the number of component on a single chip is increased and that s why complexity of the circuit is also increased day by day. Due to this the problem of fault is also increased. So detection and elimination of the faults in very large scale integrated system (VLSI) is the major factor. For the analysis of fault we have required Testing. Testing is process in which we have testing the circuit improve the fault tolerance in circuits. In the last decade there are different have been introduced for testing here in the paper we focused one of the most famous technique that is the built in self testing (BIST). Built in self testing is technique that is used different circuit testing. There are many improvement in the field of BIST. So in this we have to the working phenomena of BIST, and its different technique also with the application of BIST. The main focus is done on BIST, online and offline method of detection and elimination of faults. Keywords BIST, VLSI, UART, Testing, Circuit fault. I. INTRODUCTION It is necessary to ensure the fault free about the circuit in all type of circuits system like small scale integration system (SSI) large scale integration system (LSI) and also in very large scale integration system (VLSI) before finalizing the circuit. For this fault detection and elimination is done many number of times in circuits. Detection of fault and the type of fault present in a circuit is known as fault diagnosis. Some processes are done during manufacturing and some are during use of the system. It is also necessary to care about the time taking in detection. In this paper many works done related to testing and elimination of faults are reviewed. We are mainly focusing on BIST method of detection of faults which is most easy and suitable method. By using the BIST no extra hardware is required for testing the circuit that is main advantage of BIST. For this only an extra BIST chip is introduced in the circuit, itself. It also helps in saving the time. But BIST increases the internal complexity of the circuit that is the main problem with this. Fault tolerant circuits are receiving attention in many major application stores. In the case of complicated fault tolerant systems, fault injection has been recognized to be significantly attractive and valuable methodology wherever faults are inserted into a system and supervising the system to look at its function in response to a fault. This paper evaluates digital circuits employing a methodology where fault injection may be used among VHDL description. Also discuss on the design of a UART chip with embedded BIST architecture using simple LFSR with the help of VHDL language. The paper describes the problems of (VLSI) testing followed by the behavior of UART that includes both transmitter and receiver section using VHISC Hardware Description Language (VHDL). Similarly, in high-speed circuits that process digital audio and video signals, the inputs to most of those modules change relatively slowly. In fact, designers of low-power circuits take advantage of this consistent behavior when they determine a circuit s thermal and electrical limits, and system packaging requirements. In contrast, there is no definite correlation between the successive test patterns generated by an automatic testpattern generator for external testing or the patterns produced by a linear feedback shift register (LFSR) for built-in self-test (BIST). This lack of correlation can result in significantly greater switching activity in the circuit during test than during normal operation. Because power dissipation in CMOS circuits is proportional to switching activity, test s excessive switching activity can cause catastrophic 390 Copyright Vandana Publications. All Rights Reserved.

2 problems, as detailed later. Although academic research on low-power design remains nearly independent of that for test, industrial practice requires ad hoc solutions for considering power consumption during test application.[7] Practiced solutions include over sizing power supply, package, and cooling to withstand the increased current during testing (test engineers insert breaks into the test process to avoid hot spots);testing with reduced operating frequency; and system-under-test partitioning and appropriate test planning. The initial solution increases both hardware costs and test time. Although the second proposal uses less hardware, the reduced frequency increases test time and might lead to a loss of defect coverage because the reduced frequency can mask dynamic faults. Moreover, this solution reduces power consumption but lengthens test time, so it does not reduce the total energy consumed during test. The third solution of test partitioning and test planning detects dynamic faults, but increases hardware costs and test time. To provide an adequate response to these industrial needs, various researchers have proposed solutions for power problems encountered during test. [1-3] II. TYPE OF FAULT IN IC When we talk about the fault in integrated circuit. There are mainly two types of faults. The first one is the Temporary fault and one is the Permanent fault. Temporary faults are again of two types- (i) Transient fault and (ii) intermittent fault. Transient fault are appears for short duration of time which disappear itself after some duration. Intermittent faults are detected by online method while the permanent faults are detected by offline method. In another way of classification of faults there are several types of faults, for example bridging fault, stuck-at fault, stuck-open and stuck-short faults, delay fault. A. Bridging Fault: In bridging faults short circuit occurs between groups of signals. This may cause an OR bridge or an AND bridge which are ones dominant or zeros dominant respectively. These faults can be found with tests used for finding stuck-at-faults. B. Stuck-at-fault: This fault occurs when a signal line within a circuit is permanently set to either logic high 1 or a logic low 0. This fault model does not have a specific cause; rather, it is an abstract fault model with numerous causes. C. Stuck-Open and Stuck-Short Faults: This fault is defined as a single transistor that has either been stuck open, no current will ever pass through, or stuck short, lacking the ability to stop current. Like bridge faults, the stuck-open faults can be detected by running a sequence of stuck-at fault detection vectors. D. Delay Fault: This fault is active when the combinational logic delay exceeds the specified clock period. The variation in the manufacturing process can cause certain portions of a circuit to be slower than other parts of the circuits causing internal signals to arrive at different times and cause functional failure. The fault is usually modeled as either a gate delay fault model where a single gate is assumed responsible for producing the slow response or a path delay fault model where certain interconnects and paths are responsible for slow propagation of a signal. E. Motivation for testing: Testing has become an important issue in the production process of each electronic system, board or VLSI chip. Design for test is increasingly being preferred over tricky ad-hoc design solutions, and all major electronic companies spend a considerable proportion of production cost and engineering resources for testing. The motivation for this becomes obvious from a more global point of view: Although testing incurs a lot of efforts it is finally an important means to reduce overall cost significantly. This is illustrated by the following examples: While the actual material cost is only a negligible proportion of the product value, the cost of repair increases by a factor of 10 with each production stage [1]. It is much cheaper to reject several dies than to locate and exchange a defective chip in a complete system. As a consequence no customer is willing to bear the risk of using defective components and therefore (a) only accepts suppliers that guarantee low defect rate and (b) often performs an incoming test for supplied parts. Low defect rate of the product can be guaranteed by extensive outgoing product tests only. VLSI chips have reached an enormous complexity, and still their density doubles every 2 years [2]. This makes it impossible to rule out faults during design and production, even with the best design tools and fabrication processes available. However, short time-tomarket is critical to profitability. If testing facilitates rapid diagnosis and thus provides a means to avoid fatal production delays resulting from excessive debug time or shipping defective products, it is worth the additional cost. 391 Copyright Vandana Publications. All Rights Reserved. III. BUILT IN SELF-TEST Till now we have been trying into VLSI testing, solely from the context wherever the circuit has to be place to a test mode for validating that it is free of faults. Following that, the circuits tested OK are shipped to the clients with the idea that they would not fail within their expected life time; it can be known as off-line testing. In other words, in off-line testing, a circuit is tested once and for all, with the hope that once the circuit is verified to be fault free it would not fail throughout its expected lifetime. However, this assumption does not hold for modern day ICs, based on deep sub-micron technology, as a result of they will develop failures even during operation within

3 expected life time. To cater to this problem sometimes redundant circuitry are kept on-chip which replace the faulty parts. To enable replacement of faulty circuitry, the ICs are tested before each time they startup. If a fault is found, a part of the circuit (having the fault) is replaced with a corresponding redundant circuit part (by readjusting connections). Testing a circuit every time before they startup, is called Built-In-Self-Test (BIST) A. General Bist Architecture: BIST is a design-for-testability technique that places the testing functions physically with the circuit under test (CUT), as illustrated in Figure 1. The basic BIST architecture requires the addition of three hardware blocks to a digital circuit: a Circuit under Test (CUT), TPG (test pattern generator), a response analyzer, and a test controller. B. Circuit under Test (CUT): It is the portion of the circuit tested in BIST mode. It can be sequential, combinational or a memory. It is delimited by their Primary Input (PI) and Primary Output (PO). C. Test Pattern Generator (TPG): It generates patterns for the CUT. It is a dedicated circuit or a microprocessor. The patterns may be generated in pseudorandom or deterministically. D. Test Response Analysis (TRA): It analyses the value sequence on PO and compares it with the expected output. E. BIST Controller Unit (BCU): It controls the test execution; it manages the TPG, TRA and reconfigures the CUT and the multiplexer. IV. TESTING PARAMETER Test power is a possible major engineering problem in the future of development. As both the designs and the deep-submicron geometry become prevalent, larger designs, tighter timing constraints, higher operating frequencies, and lower applied voltages all affect the power consumption systems of silicon devices. [4] A. Energy The total switching activity generated during test application, energy affects the battery lifetime during power up or periodic self-test of battery-operated devices. B. Average Power Average power is the total distribution of power over a time period. The ratio of energy to test time gives the average power. Elevated average power increases the thermal load that must be vented away from the device under test to prevent structural damage (hot spots) to the silicon, bonding wires, or package. C. Instantaneous Power Instantaneous power is the value of power consumed at any given instant. Usually, it is defined as the power consumed right after the application of a synchronizing clock signal. Elevated instantaneous power might overload the power distribution systems of the silicon or package, causing brown-out. D. Peak Power The highest power value at any given instant, peak power determines the component s thermal and electrical limits and system packaging requirements. If peak power exceeds a certain limit, designers can no longer guarantee that the entire circuit will function correctly. In fact, the time window for defining peak power is related to the chip s thermal capacity, and forcing this window to one clock period is sometimes just a simplifying assumption. For example, consider a circuit that has a peak power consumption during only one cycle but consumes power within the chip s thermal capacity for all other cycles. In this case, the circuit is not damaged, because the energy consumed which corresponds to the peak power consumption times one cycle will not be enough to elevate the temperature over the chip s thermal capacity limit (unless the peak power consumption is far higher than normal). V. TYPE OF TESTING A. Bit-Swapping Algorithm for Low-Power BIST A modified linear feedback shift register (LFSR) is presented that reduces the number of transitions at the inputs of the circuit-under test by 25% using a bitswapping technique. They also show that the proposed design can be combined with other techniques to achieve a very substantial power reduction of up to 63%. An important application of Linear Feedback Shift Registers (LFSRs) is in the generation of test vectors for digital circuits. This is because, with little overhead in the hardware area, a normal register can be configured to work as a test generator and, with an appropriate choice of the tap sequence (XOR locations), the LFSR can generate all possible output test vectors. Furthermore, the pseudorandom behavior of the LFSR reduces the correlation between successive test vectors, which means that it can achieve a high fault coverage in a relatively short run of test vectors. However, this lack of correlation substantially increases the Weighted Switching Activity (WSA) within the Circuit- Under-Test (CUT). This often causes the power consumed during test mode operation to be much higher than during normal mode operation, which can lead to problems with battery lifetime and system reliability. B. Adaptive Shift Power Control technique To reduce the scan shift power consumption in logic BIST by using highly correlated test stimulus bits among adjacent scan cells, all existing methods only manipulate test stimulus sequences generated by LFSR in various ways and the test responses are ignored completely. Although it has been observed that the Hamming distance between a test stimulus and its captured test response is typically small, the test stimulus of a test 392 Copyright Vandana Publications. All Rights Reserved.

4 pattern is loaded into the scan chains at the same time as the test response of the previous test pattern is unloaded from the scan chains. Therefore, they are irrelevant. As a result, the scenarios listed below may happen when shifting the test stimulus and the test response simultaneously: The number of transitions contributed by the test response is much larger than that contributed by the test stimulus. [27] C. Increasing Encoding Efficiency of LFSR Reseeding- Based Test Compression Usually, the deterministic test set to be encoded by LFSR reseeding tends to have a biased probability for the logic value 1 or 0 at each primary input. The biased inputs are fixed to the logic value 1 or 0 with some combinational logic, so that the amount of data to be encoded by the LFSR can considerably be reduced. The combinational logic for bit fixing has to set some primary input to the logic value 0 (or 1), if the corresponding probability of the logic value 0 (or 1) is one. Otherwise, the test pattern from the pseudorandom test pattern generator, such as an LFSR, is directly applied to the CUT. Fig 5 shows example of applying the bit fixing scheme. In contrast to the original bit-fixing technique in, the bitfixing scheme in this application fixes bits for the complete test set so that the bit-fixing sequence generator is controlled only by a bit counter. The bit positions 0 and 3are biased to the logic values 0 and 1, respectively, so they can be fixed. [28] VI. CONCLUSION This paper reviews the various faults in digital and analog circuits and also the elimination of those. But we have mainly focused on BIST techniques because this method is useful for detecting faults and also it takes less time as well as required less hardware. Using memory BIST has various advantages such as no external test equipment, reduced development efforts, at-speed tests. However, there are many challenges associated with it such as silicon area overhead, extra pins and routing. In addition, the testability of the test hardware itself is another difficult task. Also analysis the qualitative survey on low power testing techniques and its methodology was carried out. While analyzing, all dimensions of power during chip testing was considered as parameters. Further this paper gives a survey not only on algorithmic side but also on hardware approaches. REFERENCES [1] W. Y. Zorian, A Distributed BIST Control Scheme for Complex VLSI Devices, Proc. 11th IEEE VLSI Test Symp.(VTS 93), IEEE CS Press, Los Alamitos,Calif., 1993, pp.4-9. [2] M.L. Bushnell and V.D. Agrawal, Essentials of Electronic Testing, Kluwer Academic, Boston, 2000, p. 14. [3] S. Wang and S.K. Gupta, DS-LFSR: A New BISTTPG for Low Heat Dissipation, Proc. Int l Test Conf. (ITC 97), IEEE Press, Piscataway, N.J., 1997, pp [4] B. Pouya and A. Crouch, Optimization Trade-offs for Vector Volume and Test Power, Proc. Int l Test Conf. (ITC 00), IEEE Press, Piscataway, N.J., 2000, pp [5] X. Zhang, K. Roy, and S. Bhawmik, "POWERTEST: A tool for energy conscious weighted random pattern testing ", Proceedings of International Conference on VLSI Design, pp , January [6] S. Wang and S. Gupta, "LT-RTPG: A new test-perscan switching activity", IEEE Transactions on Computer- Aided Design of Integrated Circuits and Systems, 25(8), pp , August 2006 [7] S. Chakravarty and V. Dabholkar, "Two techniques for minimizing power dissipation in scan circuits during test application", Proceedings of Asian Test Symposium, pp , November [8] V. Dabholkar, S. Chakravarty, I. minimizing power dissipation in scan and combinational circuits during test applications", IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 17(12), pp , December [9] S. Ghosh, S. Basu, and N. Touba, "Jointminimization of power and area in scan testing by scan cell reordering", IEEE Computer Society Annual Symposium on VLSI, pp , February [10] N. Badereddine, P. Girard, S. Pravossoudovitch, A. Virazel, and C. Landra"Scan cell reordering for peak power reduction during test cycles", IFIP International Federation for Information Processing, Springer Boston, pp , October [11] T. Hiraide, K. Boateng, H. Konishi, K. Itaya, M. Emori, H. Yamanaka, and TMochiyama, "BIST-aided scan test: A new method for test cost reduction", VLSI Test Symposium, pp , May [12] S. Wang and W. Wei, "A technique to reduce peak current and average power dissipation in scan designs by limited capture", Asia and South Pacific DeAutomation Conference, pp , January [13] S. Manich, A. Gabarro, M. Lopez, J. Figueras, P. Girard, L. Guiller, C. Landrault, S. Pravossoudovitch, P. Teixeira, and M. Santos, "Low power BIST by filtering non-detecting vectors", Journal of Electronic Testing: Theory and Application, 16(3), pp , June 2000 [14] N.-C. Lai and S.-Y. Wang, "Low-capture-power test generation by specifying a minimum set of controlling inputs", Asian Test Symposium, pp , October [15] P. Girard, L. Guiller, C. Landrault, S. Pravossoudovit ch, J. Figueras, S. Manich, P. Teixeira, and M. Santos, "Low energy BIST design: Impact of the LFSR TPG parameters on the weighted switching activity", Proceedings of International Symposium on Circuits and Systems, pp , June Copyright Vandana Publications. All Rights Reserved.

5 [16] M. Brazzarola and F. Fummi, "Power characterization of LFSRs", International Survey on Defect and Fault Tolerance in VLSI Systems, pp , November [17] P.-H. Wu, T.-T. Chen, W.-L. Li, and J.-C. Rau, "An efficient test-data compaction for low power VLSI testing", IEEE International Conference on Electro/ Information Technology, pp , May [18] L. Whetsel, "Adapting scan architectures for low power operation", Proceedings of International Test Conference, pp , October [19] P. Rosinger, B.M. Al-Hashimi, and N. Nicolici, "Scan architecture with mutually exclusive scan segment activation for shift and capture power reduction", IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 23(7), pp , July [20]K.Y. Cho, S. Mitra, and E. McCluskey, "California scan architecture for high quality and low power testing", IEEE International Test Conference, pp. 1-10, October [21] R. Sankaralingam and N. Touba, "Multi-phase shifting to reducing instantaneous peak power during scan", Proceedings of Latin American Workshop, pp , February [22] L.-T. Wang, C.-W. Wu, and X. Wen, VLSI Test Principles and Architectures: Design for Testability, San Francisco, Morgan Kaufmann, [23] Chandra and K. Chakrabarty, "Combining low-power scan testing and test data compression for system on-achip", Proceedings of Design Automation Conference, pp , June [24] Chandra and K. Chakrabarty, "Reduction of SOC test data volume, scan power and testing time using alternating run-length codes", Proceedings of Design Automation Conference, pp , June [25] P. Rosinger, B.M. Al-Hashimi, and N. Nicolici, "Low power mixed-mode BIST based on mask pattern generation using dual LFSR-reseeding", Proceedings of International Conference on Computer Design, pp , [26] J. Lee and N. Touba, "Low power test data compression based on LFSR reseeding", Proceedings of International Conference on Computer Design, pp , October [27] Adaptive Low Shift Power Test Pattern Generator for Logic BIST, Xijiang Lin Janusz Rajski, th IEEE Asian Test Symposium. 394 Copyright Vandana Publications. All Rights Reserved.

Survey of low power testing of VLSI circuits

Survey of low power testing of VLSI circuits Science Journal of Circuits, Systems and Signal Processing 2013; 2(2) : 67-74 Published online May 20, 2013 (http://www.sciencepublishinggroup.com/j/cssp) doi: 10.11648/j.cssp.20130202.15 Survey of low

More information

Design of Fault Coverage Test Pattern Generator Using LFSR

Design of Fault Coverage Test Pattern Generator Using LFSR Design of Fault Coverage Test Pattern Generator Using LFSR B.Saritha M.Tech Student, Department of ECE, Dhruva Institue of Engineering & Technology. Abstract: A new fault coverage test pattern generator

More information

SIC Vector Generation Using Test per Clock and Test per Scan

SIC Vector Generation Using Test per Clock and Test per Scan International Journal of Emerging Engineering Research and Technology Volume 2, Issue 8, November 2014, PP 84-89 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) SIC Vector Generation Using Test per Clock

More information

I. INTRODUCTION. S Ramkumar. D Punitha

I. INTRODUCTION. S Ramkumar. D Punitha Efficient Test Pattern Generator for BIST Using Multiple Single Input Change Vectors D Punitha Master of Engineering VLSI Design Sethu Institute of Technology Kariapatti, Tamilnadu, 626106 India punithasuresh3555@gmail.com

More information

Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA

Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA M.V.M.Lahari 1, M.Mani Kumari 2 1,2 Department of ECE, GVPCEOW,Visakhapatnam. Abstract The increasing growth of sub-micron

More information

Scan-shift Power Reduction Based on Scan Partitioning and Q-D Connection

Scan-shift Power Reduction Based on Scan Partitioning and Q-D Connection Scan-shift Power Reduction Based on Scan Partitioning and Q-D Connection Tiebin Wu, Li Zhou and Hengzhu Liu College of Computer, National University of Defense Technology Changsha, China e-mails: {tiebinwu@126.com,

More information

Controlling Peak Power During Scan Testing

Controlling Peak Power During Scan Testing Controlling Peak Power During Scan Testing Ranganathan Sankaralingam and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering University of Texas, Austin,

More information

Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction

Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction Low Illinois Scan Architecture for Simultaneous and Test Data Volume Anshuman Chandra, Felix Ng and Rohit Kapur Synopsys, Inc., 7 E. Middlefield Rd., Mountain View, CA Abstract We present Low Illinois

More information

Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques

Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques Akkala Suvarna Ratna M.Tech (VLSI & ES), Department of ECE, Sri Vani School of Engineering, Vijayawada. Abstract: A new

More information

Fault Detection And Correction Using MLD For Memory Applications

Fault Detection And Correction Using MLD For Memory Applications Fault Detection And Correction Using MLD For Memory Applications Jayasanthi Sambbandam & G. Jose ECE Dept. Easwari Engineering College, Ramapuram E-mail : shanthisindia@yahoo.com & josejeyamani@gmail.com

More information

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL Random Access Scan Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL ramamve@auburn.edu Term Paper for ELEC 7250 (Spring 2005) Abstract: Random Access

More information

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September-2014 917 The Power Optimization of Linear Feedback Shift Register Using Fault Coverage Circuits K.YARRAYYA1, K CHITAMBARA

More information

Available online at ScienceDirect. Procedia Computer Science 46 (2015 ) Aida S Tharakan a *, Binu K Mathew b

Available online at  ScienceDirect. Procedia Computer Science 46 (2015 ) Aida S Tharakan a *, Binu K Mathew b Available online at www.sciencedirect.com ScienceDirect Procedia Computer Science 46 (2015 ) 1409 1416 International Conference on Information and Communication Technologies (ICICT 2014) Design and Implementation

More information

A New Approach to Design Fault Coverage Circuit with Efficient Hardware Utilization for Testing Applications

A New Approach to Design Fault Coverage Circuit with Efficient Hardware Utilization for Testing Applications A New Approach to Design Fault Coverage Circuit with Efficient Hardware Utilization for Testing Applications S. Krishna Chaitanya Department of Electronics & Communication Engineering, Hyderabad Institute

More information

IMPLEMENTATION OF X-FACTOR CIRCUITRY IN DECOMPRESSOR ARCHITECTURE

IMPLEMENTATION OF X-FACTOR CIRCUITRY IN DECOMPRESSOR ARCHITECTURE IMPLEMENTATION OF X-FACTOR CIRCUITRY IN DECOMPRESSOR ARCHITECTURE SATHISHKUMAR.K #1, SARAVANAN.S #2, VIJAYSAI. R #3 School of Computing, M.Tech VLSI design, SASTRA University Thanjavur, Tamil Nadu, 613401,

More information

A Novel Low Power pattern Generation Technique for Concurrent Bist Architecture

A Novel Low Power pattern Generation Technique for Concurrent Bist Architecture A Novel Low Power pattern Generation Technique for Concurrent Bist Architecture Y. Balasubrahamanyam, G. Leenendra Chowdary, T.J.V.S.Subrahmanyam Research Scholar, Dept. of ECE, Sasi institute of Technology

More information

Design of BIST Enabled UART with MISR

Design of BIST Enabled UART with MISR International Journal of Emerging Engineering Research and Technology Volume 3, Issue 8, August 2015, PP 85-89 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) ABSTRACT Design of BIST Enabled UART with

More information

Minimizing Peak Power Consumption during Scan Testing: Test Pattern Modification with X Filling Heuristics

Minimizing Peak Power Consumption during Scan Testing: Test Pattern Modification with X Filling Heuristics Minimizing Peak Power Consumption during Scan Testing: Test Pattern Modification with X Filling Heuristics Nabil Badereddine Patrick Girard Serge Pravossoudovitch Christian Landrault Arnaud Virazel Laboratoire

More information

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab. Built-In Self Test 2

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab. Built-In Self Test 2 CMOS INTEGRATE CIRCUIT ESIGN TECHNIUES University of Ioannina Built In Self Test (BIST) ept. of Computer Science and Engineering Y. Tsiatouhas CMOS Integrated Circuit esign Techniques VLSI Systems and

More information

Design for Test. Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective.

Design for Test. Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective. Design for Test Definition: Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective. Types: Design for Testability Enhanced access Built-In

More information

A Technique to Reduce Peak Current and Average Power Dissipation in Scan Designs by Limited Capture

A Technique to Reduce Peak Current and Average Power Dissipation in Scan Designs by Limited Capture A Technique to Reduce Peak Current and Average Power Dissipation in Scan Designs by Limited Capture Seongmoon Wang Wenlong Wei NEC Labs., America, Princeton, NJ swang,wwei @nec-labs.com Abstract In this

More information

ISSN:

ISSN: 191 Low Power Test Pattern Generator Using LFSR and Single Input Changing Generator (SICG) for BIST Applications A K MOHANTY 1, B P SAHU 2, S S MAHATO 3 Department of Electronics and Communication Engineering,

More information

A New Low Energy BIST Using A Statistical Code

A New Low Energy BIST Using A Statistical Code A New Low Energy BIST Using A Statistical Code Sunghoon Chun, Taejin Kim and Sungho Kang Department of Electrical and Electronic Engineering Yonsei University 134 Shinchon-dong Seodaemoon-gu, Seoul, Korea

More information

Scan. This is a sample of the first 15 pages of the Scan chapter.

Scan. This is a sample of the first 15 pages of the Scan chapter. Scan This is a sample of the first 15 pages of the Scan chapter. Note: The book is NOT Pinted in color. Objectives: This section provides: An overview of Scan An introduction to Test Sequences and Test

More information

Survey of Low-Power Testing of VLSI Circuits

Survey of Low-Power Testing of VLSI Circuits Survey of Low-Power Testing of VLSI Circuits Patrick Girard Laboratory of Informatics, Robotics and Microelectronics of Montpellier The author reviews low-power testing techniques for VLSI circuits. He

More information

Low Power Implementation of Launch-Off- Shift and Launch-Off-Capture Using T-Algorithm

Low Power Implementation of Launch-Off- Shift and Launch-Off-Capture Using T-Algorithm Low Power Implementation of Launch-Off- Shift and Launch-Off-Capture Using T-Algorithm S.Akshaya 1, M.Divya 2, T.Indhumathi 3, T.Jaya Sree 4, T.Murugan 5 U.G. Student, Department of ECE, ACE College, Hosur,

More information

Design and Implementation of Uart with Bist for Low Power Dissipation Using Lp-Tpg

Design and Implementation of Uart with Bist for Low Power Dissipation Using Lp-Tpg IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 6, Issue 3, Ver. II (May. -Jun. 2016), PP 26-31 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Design and Implementation of

More information

DESIGN OF LOW POWER TEST PATTERN GENERATOR

DESIGN OF LOW POWER TEST PATTERN GENERATOR International Journal of Electronics, Communication & Instrumentation Engineering Research and Development (IJECIERD) ISSN(P): 2249-684X; ISSN(E): 2249-7951 Vol. 4, Issue 1, Feb 2014, 59-66 TJPRC Pvt.

More information

Diagnosis of Resistive open Fault using Scan Based Techniques

Diagnosis of Resistive open Fault using Scan Based Techniques Diagnosis of Resistive open Fault using Scan Based Techniques 1 Mr. A. Muthu Krishnan. M.E., (Ph.D), 2. G. Chandra Theepa Assistant Professor 1, PG Scholar 2,Dept. of ECE, Regional Office, Anna University,

More information

Design and Implementation of Low Power Linear Feedback Shift Segisters for Vlsi Application

Design and Implementation of Low Power Linear Feedback Shift Segisters for Vlsi Application 24 Design and Implementation of Low Power Linear Feedback Shift Segisters for Vlsi Application 1. A.V.PRABU 2.T.APPA RAO 3. TUSHAR KANT PANDA 4.PADMINI MISHRA 5. L.SIVA PRASAD 6.R.DHAMODHARAN ABSTRACT:

More information

Efficient Test Pattern Generator for BIST using Multiple Single Input Change Vectors

Efficient Test Pattern Generator for BIST using Multiple Single Input Change Vectors ISSN : 2347-8446 (Online) International Journal of Advanced Research in Efficient Test Pattern Generator for BIST using Multiple Single Input Change Vectors I D. Punitha, II S. Ram Kumar I Final Year,

More information

DETERMINISTIC SEED RANGE AND TEST PATTERN DECREASE IN LOGIC BIST

DETERMINISTIC SEED RANGE AND TEST PATTERN DECREASE IN LOGIC BIST DETERMINISTIC SEED RANGE AND TEST PATTERN DECREASE IN LOGIC BIST PAVAN KUMAR GABBITI 1*, KATRAGADDA ANITHA 2* 1. Dept of ECE, Malineni Lakshmaiah Engineering College, Andhra Pradesh, India. Email Id :pavankumar.gabbiti11@gmail.com

More information

VLSI System Testing. BIST Motivation

VLSI System Testing. BIST Motivation ECE 538 VLSI System Testing Krish Chakrabarty Built-In Self-Test (BIST): ECE 538 Krish Chakrabarty BIST Motivation Useful for field test and diagnosis (less expensive than a local automatic test equipment)

More information

Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory. National Central University

Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory. National Central University Chapter 3 Basics of VLSI Testing (2) Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory Department of Electrical Engineering National Central University Jhongli, Taiwan Outline Testing Process Fault

More information

A Modified Clock Scheme for a Low Power BIST Test Pattern Generator

A Modified Clock Scheme for a Low Power BIST Test Pattern Generator A Modified Clock Scheme for a Low Power BIST Test Pattern Generator P. Girard 1 L. Guiller 1 C. Landrault 1 S. Pravossoudovitch 1 H.J. Wunderlich 2 1 Laboratoire d Informatique, de Robotique et de Microélectronique

More information

Low-Power Scan Testing and Test Data Compression for System-on-a-Chip

Low-Power Scan Testing and Test Data Compression for System-on-a-Chip IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 21, NO. 5, MAY 2002 597 Low-Power Scan Testing and Test Data Compression for System-on-a-Chip Anshuman Chandra, Student

More information

[Krishna*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785

[Krishna*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY DESIGN AND IMPLEMENTATION OF BIST TECHNIQUE IN UART SERIAL COMMUNICATION M.Hari Krishna*, P.Pavan Kumar * Electronics and Communication

More information

This Chapter describes the concepts of scan based testing, issues in testing, need

This Chapter describes the concepts of scan based testing, issues in testing, need Chapter 2 AT-SPEED TESTING AND LOGIC BUILT IN SELF TEST 2.1 Introduction This Chapter describes the concepts of scan based testing, issues in testing, need for logic BIST and trends in VLSI testing. Scan

More information

Logic Design for Single On-Chip Test Clock Generation for N Clock Domain - Impact on SOC Area and Test Quality

Logic Design for Single On-Chip Test Clock Generation for N Clock Domain - Impact on SOC Area and Test Quality and Communication Technology (IJRECT 6) Vol. 3, Issue 3 July - Sept. 6 ISSN : 38-965 (Online) ISSN : 39-33 (Print) Logic Design for Single On-Chip Test Clock Generation for N Clock Domain - Impact on SOC

More information

Power Problems in VLSI Circuit Testing

Power Problems in VLSI Circuit Testing Power Problems in VLSI Circuit Testing Farhana Rashid and Vishwani D. Agrawal Auburn University Department of Electrical and Computer Engineering 200 Broun Hall, Auburn, AL 36849 USA fzr0001@tigermail.auburn.edu,

More information

Efficient Test Pattern Generation Scheme with modified seed circuit.

Efficient Test Pattern Generation Scheme with modified seed circuit. Efficient Test Pattern Generation Scheme with modified seed circuit. PAYEL MUKHERJEE, Mrs. N.SARASWATHI Abstract This paper proposes a modified test pattern generator which produces single bit change vectors

More information

Scan Chain Design for Power Minimization During Scan Testing Under Routing Constraint.

Scan Chain Design for Power Minimization During Scan Testing Under Routing Constraint. Efficient Scan Chain Design for Power Minimization During Scan Testing Under Routing Constraint Yannick Bonhomme, Patrick Girard, L. Guiller, Christian Landrault, Serge Pravossoudovitch To cite this version:

More information

Sharif University of Technology. SoC: Introduction

Sharif University of Technology. SoC: Introduction SoC Design Lecture 1: Introduction Shaahin Hessabi Department of Computer Engineering System-on-Chip System: a set of related parts that act as a whole to achieve a given goal. A system is a set of interacting

More information

Research Article Ring Counter Based ATPG for Low Transition Test Pattern Generation

Research Article Ring Counter Based ATPG for Low Transition Test Pattern Generation e Scientific World Journal Volume 205, Article ID 72965, 6 pages http://dx.doi.org/0.55/205/72965 Research Article Ring Counter Based ATPG for Low Transition Test Pattern Generation V. M. Thoulath Begam

More information

Lecture 23 Design for Testability (DFT): Full-Scan

Lecture 23 Design for Testability (DFT): Full-Scan Lecture 23 Design for Testability (DFT): Full-Scan (Lecture 19alt in the Alternative Sequence) Definition Ad-hoc methods Scan design Design rules Scan register Scan flip-flops Scan test sequences Overheads

More information

Weighted Random and Transition Density Patterns For Scan-BIST

Weighted Random and Transition Density Patterns For Scan-BIST Weighted Random and Transition Density Patterns For Scan-BIST Farhana Rashid Intel Corporation 1501 S. Mo-Pac Expressway, Suite 400 Austin, TX 78746 USA Email: farhana.rashid@intel.com Vishwani Agrawal

More information

Design for Testability

Design for Testability TDTS 01 Lecture 9 Design for Testability Zebo Peng Embedded Systems Laboratory IDA, Linköping University Lecture 9 The test problems Fault modeling Design for testability techniques Zebo Peng, IDA, LiTH

More information

On Reducing Both Shift and Capture Power for Scan-Based Testing

On Reducing Both Shift and Capture Power for Scan-Based Testing On Reducing Both Shift and apture Power for Scan-Based Testing Jia LI,2, Qiang U 3,4, Yu HU, iaowei LI * Key Laboratory of omputer System and Architecture IT, hinese Academy of Sciences Beijing, 8; 2 Graduate

More information

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits N.Brindha, A.Kaleel Rahuman ABSTRACT: Auto scan, a design for testability (DFT) technique for synchronous sequential circuits.

More information

Built-In Self-Test (BIST) Abdil Rashid Mohamed, Embedded Systems Laboratory (ESLAB) Linköping University, Sweden

Built-In Self-Test (BIST) Abdil Rashid Mohamed, Embedded Systems Laboratory (ESLAB) Linköping University, Sweden Built-In Self-Test (BIST) Abdil Rashid Mohamed, abdmo@ida ida.liu.se Embedded Systems Laboratory (ESLAB) Linköping University, Sweden Introduction BIST --> Built-In Self Test BIST - part of the circuit

More information

Lecture 18 Design For Test (DFT)

Lecture 18 Design For Test (DFT) Lecture 18 Design For Test (DFT) Xuan Silvia Zhang Washington University in St. Louis http://classes.engineering.wustl.edu/ese461/ ASIC Test Two Stages Wafer test, one die at a time, using probe card production

More information

Analysis of Low Power Test Pattern Generator by Using Low Power Linear Feedback Shift Register (LP-LFSR)

Analysis of Low Power Test Pattern Generator by Using Low Power Linear Feedback Shift Register (LP-LFSR) Analysis of Low Power Test Pattern Generator by Using Low Power Linear Feedback Shift Register (LP-LFSR) Nelli Shireesha 1, Katakam Divya 2 1 MTech Student, Dept of ECE, SR Engineering College, Warangal,

More information

Low Transition Test Pattern Generator Architecture for Built-in-Self-Test

Low Transition Test Pattern Generator Architecture for Built-in-Self-Test American Journal of Applied Sciences 9 (9): 1396-1406, 2012 ISSN 1546-9239 2012 Science Publication Low Transition Test Pattern Generator Architecture for Built-in-Self-Test 1 Sakthivel, P., 2 A. NirmalKumar

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET476) Lecture 9 (2) Built-In-Self Test (Chapter 5) Said Hamdioui Computer Engineering Lab Delft University of Technology 29-2 Learning aims Describe the concept and

More information

Design of Routing-Constrained Low Power Scan Chains

Design of Routing-Constrained Low Power Scan Chains 1530-1591/04 $20.00 (c) 2004 IEEE Design of Routing-Constrained Low Power Scan Chains Y. Bonhomme 1 P. Girard 1 L. Guiller 2 C. Landrault 1 S. Pravossoudovitch 1 A. Virazel 1 1 Laboratoire d Informatique,

More information

Lecture 23 Design for Testability (DFT): Full-Scan (chapter14)

Lecture 23 Design for Testability (DFT): Full-Scan (chapter14) Lecture 23 Design for Testability (DFT): Full-Scan (chapter14) Definition Ad-hoc methods Scan design Design rules Scan register Scan flip-flops Scan test sequences Overheads Scan design system Summary

More information

DESIGN OF RANDOM TESTING CIRCUIT BASED ON LFSR FOR THE EXTERNAL MEMORY INTERFACE

DESIGN OF RANDOM TESTING CIRCUIT BASED ON LFSR FOR THE EXTERNAL MEMORY INTERFACE DESIGN OF RANDOM TESTING CIRCUIT BASED ON LFSR FOR THE EXTERNAL MEMORY INTERFACE Mohammed Gazi.J 1, Abdul Mubeen Mohammed 2 1 M.Tech. 2 BE, MS(IT), AMISTE ABSTRACT In the design of a SOC system, random

More information

Controlled Transition Density Based Power Constrained Scan-BIST with Reduced Test Time. Farhana Rashid

Controlled Transition Density Based Power Constrained Scan-BIST with Reduced Test Time. Farhana Rashid Controlled Transition Density Based Power Constrained Scan-BIST with Reduced Test Time by Farhana Rashid A thesis submitted to the Graduate Faculty of Auburn University in partial fulfillment of the requirements

More information

Testing Digital Systems II

Testing Digital Systems II Testing Digital Systems II Lecture 5: Built-in Self Test (I) Instructor: M. Tahoori Copyright 2010, M. Tahoori TDS II: Lecture 5 1 Outline Introduction (Lecture 5) Test Pattern Generation (Lecture 5) Pseudo-Random

More information

Power Optimization by Using Multi-Bit Flip-Flops

Power Optimization by Using Multi-Bit Flip-Flops Volume-4, Issue-5, October-2014, ISSN No.: 2250-0758 International Journal of Engineering and Management Research Page Number: 194-198 Power Optimization by Using Multi-Bit Flip-Flops D. Hazinayab 1, K.

More information

Novel Correction and Detection for Memory Applications 1 B.Pujita, 2 SK.Sahir

Novel Correction and Detection for Memory Applications 1 B.Pujita, 2 SK.Sahir Novel Correction and Detection for Memory Applications 1 B.Pujita, 2 SK.Sahir 1 M.Tech Research Scholar, Priyadarshini Institute of Technology & Science, Chintalapudi, India 2 HOD, Priyadarshini Institute

More information

Implementation of BIST Test Generation Scheme based on Single and Programmable Twisted Ring Counters

Implementation of BIST Test Generation Scheme based on Single and Programmable Twisted Ring Counters IOSR Journal of Mechanical and Civil Engineering (IOSR-JMCE) e-issn: 2278-1684, p-issn: 2320-334X Implementation of BIST Test Generation Scheme based on Single and Programmable Twisted Ring Counters N.Dilip

More information

CMOS Testing-2. Design for testability (DFT) Design and Test Flow: Old View Test was merely an afterthought. Specification. Design errors.

CMOS Testing-2. Design for testability (DFT) Design and Test Flow: Old View Test was merely an afterthought. Specification. Design errors. Design and test CMOS Testing- Design for testability (DFT) Scan design Built-in self-test IDDQ testing ECE 261 Krish Chakrabarty 1 Design and Test Flow: Old View Test was merely an afterthought Specification

More information

MVP: Capture-Power Reduction with Minimum-Violations Partitioning for Delay Testing

MVP: Capture-Power Reduction with Minimum-Violations Partitioning for Delay Testing MVP: Capture-Power Reduction with Minimum-Violations Partitioning for Delay Testing Zhen Chen 1, Krishnendu Chakrabarty 2, Dong Xiang 3 1 Department of Computer Science and Technology, 3 School of Software

More information

Low Power Estimation on Test Compression Technique for SoC based Design

Low Power Estimation on Test Compression Technique for SoC based Design Indian Journal of Science and Technology, Vol 8(4), DOI: 0.7485/ijst/205/v8i4/6848, July 205 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Low Estimation on Test Compression Technique for SoC based

More information

Low Transition-Generalized Linear Feedback Shift Register Based Test Pattern Generator Architecture for Built-in-Self-Test

Low Transition-Generalized Linear Feedback Shift Register Based Test Pattern Generator Architecture for Built-in-Self-Test Journal of Computer Science 8 (6): 815-81, 01 ISSN 1549-3636 01 Science Publications Low Transition-Generalized Linear Feedback Shift Register Based Test Pattern Generator Architecture for Built-in-Self-Test

More information

Modifying the Scan Chains in Sequential Circuit to Reduce Leakage Current

Modifying the Scan Chains in Sequential Circuit to Reduce Leakage Current IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 3, Issue 1 (Sep. Oct. 2013), PP 01-09 e-issn: 2319 4200, p-issn No. : 2319 4197 Modifying the Scan Chains in Sequential Circuit to Reduce Leakage

More information

VHDL Implementation of Logic BIST (Built In Self Test) Architecture for Multiplier Circuit for High Test Coverage in VLSI Chips

VHDL Implementation of Logic BIST (Built In Self Test) Architecture for Multiplier Circuit for High Test Coverage in VLSI Chips VHDL Implementation of Logic BIST (Built In Self Test) Architecture for Multiplier Circuit for High Test Coverage in VLSI Chips Pushpraj Singh Tanwar, Priyanka Shrivastava Assistant professor, Dept. of

More information

Based on slides/material by. Topic 14. Testing. Testing. Logic Verification. Recommended Reading:

Based on slides/material by. Topic 14. Testing. Testing. Logic Verification. Recommended Reading: Based on slides/material by Topic 4 Testing Peter Y. K. Cheung Department of Electrical & Electronic Engineering Imperial College London!! K. Masselos http://cas.ee.ic.ac.uk/~kostas!! J. Rabaey http://bwrc.eecs.berkeley.edu/classes/icbook/instructors.html

More information

ISSN (c) MIT Publications

ISSN (c) MIT Publications MIT International Journal of Electronics and Communication Engineering, Vol. 2, No. 2, Aug. 2012, pp. (83-88) 83 BIST- Built in Self Test A Testing Technique Alpana Singh MIT, Moradabad, UP, INDIA Email:

More information

Unit 8: Testability. Prof. Roopa Kulkarni, GIT, Belgaum. 29

Unit 8: Testability. Prof. Roopa Kulkarni, GIT, Belgaum. 29 Unit 8: Testability Objective: At the end of this unit we will be able to understand Design for testability (DFT) DFT methods for digital circuits: Ad-hoc methods Structured methods: Scan Level Sensitive

More information

Survey of Test Vector Compression Techniques

Survey of Test Vector Compression Techniques Tutorial Survey of Test Vector Compression Techniques Nur A. Touba University of Texas at Austin Test data compression consists of test vector compression on the input side and response compaction on the

More information

EE241 - Spring 2001 Advanced Digital Integrated Circuits. References

EE241 - Spring 2001 Advanced Digital Integrated Circuits. References EE241 - Spring 2001 Advanced Digital Integrated Circuits Lecture 28 References Rabaey, Digital Integrated Circuits and EE241 (1998) notes Chapter 25, ing of High-Performance Processors by D.K. Bhavsar

More information

Doctor of Philosophy

Doctor of Philosophy LOW POWER HIGH FAULT COVERAGE TEST TECHNIQUES FOR D IGITAL VLSI CIRCUITS By Abdallatif S. Abuissa A thesis submitted to The University of Birmingham for the Degree of Doctor of Philosophy School of Electronic,

More information

Reduction of Clock Power in Sequential Circuits Using Multi-Bit Flip-Flops

Reduction of Clock Power in Sequential Circuits Using Multi-Bit Flip-Flops Reduction of Clock Power in Sequential Circuits Using Multi-Bit Flip-Flops A.Abinaya *1 and V.Priya #2 * M.E VLSI Design, ECE Dept, M.Kumarasamy College of Engineering, Karur, Tamilnadu, India # M.E VLSI

More information

Testability: Lecture 23 Design for Testability (DFT) Slide 1 of 43

Testability: Lecture 23 Design for Testability (DFT) Slide 1 of 43 Testability: Lecture 23 Design for Testability (DFT) Shaahin hi Hessabi Department of Computer Engineering Sharif University of Technology Adapted, with modifications, from lecture notes prepared p by

More information

Transactions Brief. Circular BIST With State Skipping

Transactions Brief. Circular BIST With State Skipping 668 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 10, NO. 5, OCTOBER 2002 Transactions Brief Circular BIST With State Skipping Nur A. Touba Abstract Circular built-in self-test

More information

UNIT IV CMOS TESTING. EC2354_Unit IV 1

UNIT IV CMOS TESTING. EC2354_Unit IV 1 UNIT IV CMOS TESTING EC2354_Unit IV 1 Outline Testing Logic Verification Silicon Debug Manufacturing Test Fault Models Observability and Controllability Design for Test Scan BIST Boundary Scan EC2354_Unit

More information

TEST PATTERN GENERATION USING PSEUDORANDOM BIST

TEST PATTERN GENERATION USING PSEUDORANDOM BIST TEST PATTERN GENERATION USING PSEUDORANDOM BIST GaneshBabu.J 1, Radhika.P 2 PG Student [VLSI], Dept. of ECE, SRM University, Chennai, Tamilnadu, India 1 Assistant Professor [O.G], Dept. of ECE, SRM University,

More information

for Digital IC's Design-for-Test and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ

for Digital IC's Design-for-Test and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ Design-for-Test for Digital IC's and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ 07458 www.phptr.com ISBN D-13-DflMfla7-l : Ml H Contents Preface Acknowledgments Introduction

More information

Leakage Current Reduction in Sequential Circuits by Modifying the Scan Chains

Leakage Current Reduction in Sequential Circuits by Modifying the Scan Chains eakage Current Reduction in Sequential s by Modifying the Scan Chains Afshin Abdollahi University of Southern California (3) 592-3886 afshin@usc.edu Farzan Fallah Fujitsu aboratories of America (48) 53-4544

More information

Clock Gate Test Points

Clock Gate Test Points Clock Gate Test Points Narendra Devta-Prasanna and Arun Gunda LSI Corporation 5 McCarthy Blvd. Milpitas CA 9535, USA {narendra.devta-prasanna, arun.gunda}@lsi.com Abstract Clock gating is widely used in

More information

Test Pattern Generation Using BIST Schemes

Test Pattern Generation Using BIST Schemes Test Pattern Generation Using BIST Schemes M. Guru Ramalingam 1, Dr.P.Veena 2, Dr.R.Jeyabharath 3 PG Scholar, K S R Institute for Engineering and Technology, Tamilnadu, India 1 Professor, K S R Institute

More information

Design and Implementation OF Logic-BIST Architecture for I2C Slave VLSI ASIC Design Using Verilog

Design and Implementation OF Logic-BIST Architecture for I2C Slave VLSI ASIC Design Using Verilog Design and Implementation OF Logic-BIST Architecture for I2C Slave VLSI ASIC Design Using Verilog 1 Manish J Patel, 2 Nehal Parmar, 3 Vishwas Chaudhari 1, 2, 3 PG Students (VLSI & ESD) Gujarat Technological

More information

Analysis of Power Consumption and Transition Fault Coverage for LOS and LOC Testing Schemes

Analysis of Power Consumption and Transition Fault Coverage for LOS and LOC Testing Schemes Analysis of Power Consumption and Transition Fault Coverage for LOS and LOC Testing Schemes F. Wu 1 L. Dilillo 1 A. Bosio 1 P. Girard 1 S. Pravossoudovitch 1 A. Virazel 1 1 Dept. of Microelectronic 1 LIRMM,

More information

March Test Compression Technique on Low Power Programmable Pseudo Random Test Pattern Generator

March Test Compression Technique on Low Power Programmable Pseudo Random Test Pattern Generator International Journal of Computational Intelligence Research ISSN 0973-1873 Volume 13, Number 6 (2017), pp. 1493-1498 Research India Publications http://www.ripublication.com March Test Compression Technique

More information

Using on-chip Test Pattern Compression for Full Scan SoC Designs

Using on-chip Test Pattern Compression for Full Scan SoC Designs Using on-chip Test Pattern Compression for Full Scan SoC Designs Helmut Lang Senior Staff Engineer Jens Pfeiffer CAD Engineer Jeff Maguire Principal Staff Engineer Motorola SPS, System-on-a-Chip Design

More information

Changing the Scan Enable during Shift

Changing the Scan Enable during Shift Changing the Scan Enable during Shift Nodari Sitchinava* Samitha Samaranayake** Rohit Kapur* Emil Gizdarski* Fredric Neuveux* T. W. Williams* * Synopsys Inc., 700 East Middlefield Road, Mountain View,

More information

Failure Analysis Technology for Advanced Devices

Failure Analysis Technology for Advanced Devices ISHIYAMA Toshio, WADA Shinichi, KUZUMI Hajime, IDE Takashi Abstract The sophistication of functions, miniaturization and reduced weight of household appliances and various devices have been accelerating

More information

Logic BIST Architecture Using Staggered Launch-on-Shift for Testing Designs Containing Asynchronous Clock Domains

Logic BIST Architecture Using Staggered Launch-on-Shift for Testing Designs Containing Asynchronous Clock Domains 2010 25th International Symposium on Defect and Fault Tolerance in VLSI Systems Logic BIST Architecture Using Staggered Launch-on-Shift for Testing Designs Containing Asynchronous Clock Domains Shianling

More information

Power Optimization of Linear Feedback Shift Register (LFSR) using Power Gating

Power Optimization of Linear Feedback Shift Register (LFSR) using Power Gating Power Optimization of Linear Feedback Shift Register (LFSR) using Rebecca Angela Fernandes 1, Niju Rajan 2 1Student, Dept. of E&C Engineering, N.M.A.M Institute of Technology, Karnataka, India 2Assistant

More information

LFSR Counter Implementation in CMOS VLSI

LFSR Counter Implementation in CMOS VLSI LFSR Counter Implementation in CMOS VLSI Doshi N. A., Dhobale S. B., and Kakade S. R. Abstract As chip manufacturing technology is suddenly on the threshold of major evaluation, which shrinks chip in size

More information

Implementation of Low Power Test Pattern Generator Using LFSR

Implementation of Low Power Test Pattern Generator Using LFSR Implementation of Low Power Test Pattern Generator Using LFSR K. Supriya 1, B. Rekha 2 1 Teegala Krishna Reddy Engineering College, Student, M. Tech, VLSI-SD, E.C.E Dept., Hyderabad, India 2 Teegala Krishna

More information

BUILT-IN SELF-TEST BASED ON TRANSPARENT PSEUDORANDOM TEST PATTERN GENERATION. Karpagam College of Engineering,coimbatore.

BUILT-IN SELF-TEST BASED ON TRANSPARENT PSEUDORANDOM TEST PATTERN GENERATION. Karpagam College of Engineering,coimbatore. Volume 118 No. 20 2018, 505-509 ISSN: 1311-8080 (printed version); ISSN: 1314-3395 (on-line version) url: http://www.ijpam.eu ijpam.eu BUILT-IN SELF-TEST BASED ON TRANSPARENT PSEUDORANDOM TEST PATTERN

More information

Final Exam CPSC/ECEN 680 May 2, Name: UIN:

Final Exam CPSC/ECEN 680 May 2, Name: UIN: Final Exam CPSC/ECEN 680 May 2, 2008 Name: UIN: Instructions This exam is closed book. Provide brief but complete answers to the following questions in the space provided, using figures as necessary. Show

More information

Figure.1 Clock signal II. SYSTEM ANALYSIS

Figure.1 Clock signal II. SYSTEM ANALYSIS International Journal of Advances in Engineering, 2015, 1(4), 518-522 ISSN: 2394-9260 (printed version); ISSN: 2394-9279 (online version); url:http://www.ijae.in RESEARCH ARTICLE Multi bit Flip-Flop Grouping

More information

At-speed testing made easy

At-speed testing made easy At-speed testing made easy By Bruce Swanson and Michelle Lange, EEdesign.com Jun 03, 2004 (5:00 PM EDT) URL: http://www.eedesign.com/article/showarticle.jhtml?articleid=21401421 Today's chip designs are

More information

Retiming Sequential Circuits for Low Power

Retiming Sequential Circuits for Low Power Retiming Sequential Circuits for Low Power José Monteiro, Srinivas Devadas Department of EECS MIT, Cambridge, MA Abhijit Ghosh Mitsubishi Electric Research Laboratories Sunnyvale, CA Abstract Switching

More information

DESIGN OF TEST PATTERN OF MULTIPLE SIC VECTORS FROM LOW POWER LFSR THEORY AND APPLICATIONS IN BIST SCHEMES

DESIGN OF TEST PATTERN OF MULTIPLE SIC VECTORS FROM LOW POWER LFSR THEORY AND APPLICATIONS IN BIST SCHEMES DESIGN OF TEST PATTERN OF MULTIPLE SIC VECTORS FROM LOW POWER LFSR THEORY AND APPLICATIONS IN BIST SCHEMES P. SANTHAMMA, T.S. GHOUSE BASHA, B.DEEPASREE ABSTRACT--- BUILT-IN SELF-TEST (BIST) techniques

More information

Overview: Logic BIST

Overview: Logic BIST VLSI Design Verification and Testing Built-In Self-Test (BIST) - 2 Mohammad Tehranipoor Electrical and Computer Engineering University of Connecticut 23 April 2007 1 Overview: Logic BIST Motivation Built-in

More information