Evaluating BIST Architectures for Low Power

Size: px
Start display at page:

Download "Evaluating BIST Architectures for Low Power"

Transcription

1 Evaluating BIST Architectures for Low Power C.P. Ravikumar Department of Electrical Engineering Indian Institute of Technology New Delhi N. Satya Prasad * Cadence India NEPZ, Noida U.P., India snitala@cadence.com Abstract The "system-on-chip" revolution has posed a number of new challenges to the test engineers. We address the issue of high power dissipation during testing, which can reach levels that are beyond the safe upper limit associated with the chosen packaging technology. A study undertaken by Zorian reveals that test power can be as large as 200% or more in comparison to the normal power. In the test mode, input vectors are normally applied in an uncorrelated manner, leading to an increase in the average Hamming distance between two successive vectors. This implies a larger switching activity, and, for CMOS circuits, implies a larger power dissipation. In this paper, our attempt is to look at Built-in Self-Test architectures from the view point of power dissipation, fault-coverage, area, and test length. We report experimental results for a CORDIC chip. Our results indicate that BIST architectures differ significantly from one another in terms of power dissipation, giving the test designer an opportunity to address the problem of excessive heating during testing. 1 Introduction Problem Complementary MOS technology has been deemed a low-power technology since power is dissipated in a CMOS circuit only when one or more nodes makes a state transition. The static power in a CMOS circuit is due to the flow of reverse bias current and the "short circuit" current which flows when both the P and A r parts of the circuit conduct simultaneously e.g. during state transition at an output node. As the number of devices packed into a single chip continues to follow Moore's law, it is doubtful if CMOS will be continued to be referred to as a "low-power" technology. Microprocessors such as Digital's Alpha, Intel's Pentium, and Sun's Ultra SPARC are known to dissipate power of *This work was carried out when the second author was an M.Tech student of IIT Delhi in the Department of Electrical Engineering. the order of 30 to 50 Watts. Not only do packaging costs mount when the power dissipation of the chip increases, the reliability of the product gets affected in an adverse way. The "system-on-chip" (SOC) revolution which packs tens (or even hundreds) of millions of transistors on the same chip, poses new challenges to the design team, the packaging team, and the test team. The problem of increased power dissipation in SOC designs takes a turn for the worse when it comes to testing, as explained below. Circuit designers have taken advantage of the fact that the primary inputs to a circuit come from a non-uniform distribution [1]. For example, in a speech signal processing circuit, the input vectors behave in a predictable manner, with the least significant bits more likely to change than the most significant bits. The transition probability of a signal line is the probability that the signal will make a transition from logic 0 to logic 1 (or vice versa). The circuit design can be optimized to ensure that the average transition probability of the internal nodes is reduced if the information about the primary input transition probabilities are known a priori. Similarly, technology mapping, placement, and routing can be carried out to reduce the effective switched capacitance S, S = ^2 n C n T n where C n is the capacitance of node n in the physical realization of the circuit and T n is the transition probability of node n. For a fixed value of clock frequency and supply power, 5 is a measure of the dynamic power dissipation in a CMOS circuit. In anything other than functional testing, input vectors are applied in an uncorrelated manner i.e. there s no definite relationship between one vector and the successive vector. For example, in Built-in Self-Test, which is a predominant test strategy in Application-Specific System-On-Chip (ASSOC) designs, test vectors are applied using a pseudorandom test pattern generator. Even when ATPG+Scan combination is employed, there is no definite correlation between successive test vectors. In summary, the Hamming distance between two successive test vectors is expected to be larger than two successive input vectors during normal mode of operation. The increased switching activity during testing can result in a much larger power dissipa- 430

2 tion. In a study undertaken by Zorian [13], he observed that test power can be to 200% larger than normal mode power. The painstaking power optimization that the circuit designer undertakes to do may become meaningless if the package selection must be carried out on the basis of test power. Conversely, if the package selection was based on the power estimate of the circuit designer, then the circuit is very likely to burn out when it is tested. Solutions One straight-forward solution to the above problem is to lower the test clock frequency. This would be an acceptable solution if the fault model is limited to static fault such as stuck-at faults. Delay testing, which is a necessity for high-performance circuits, must be carried out at the normal clock speed. Even for DC testing, reducing the test clock frequency by a factor of k will amount to increasing the total test time by a factor of k. Chou, Saluja and Agrawal [2] suggested a way of scheduling tests in a BIST environment. The idea is to reduce the amount of concurrency in testing. If there an; N sub-circuits to be tested, we schedule their testing such that at most N sa f e sub-circuits are concurrently tested, where N sa f e is dictated by the selected package type. This solution is actually practiced, but has the drawback that it increases the total test time. Wang and Gupta [11] suggested a technique to reduce heat dissipation during testing in full scan-based circuits. It exploits the don't cares (DCs) during scan shifting, test application, and response capture to reduce the overall switching in the CUT. During scan shifting, the DCs are used to block the gates that may cause transitions during shifting. The DCs at the inputs are assigned 0/1 values so as to minimize transitions. The authors suggested a technique to generate vectors that have a large number of DCs. The above techniques address the problem at the algorithmic level; in this paper, our interest is to explore architectural-level solutions. To the best of our knowledge, ours is the first such attempt. We restrict ourselves to BIST architectures. It is well known that the choice of the B 1ST architecture influences the fault coverage, test application time, and the area overhead. Our experiments show that the test architecture can also influence the power dissipation significantly. In the past, the choice of test architeclure has been influenced mainly by fault coverage [3, 6]. In the Intel processor, a BIST architecture resulted in 2 19 test vectors [3]. Nazuyama et al. report test lengths of 2 31 for the TX1 processor [6], In each of the above examples, the test lasted a few seconds. We believe that the choice of the test architecture for the future generation of ASSOCs will be governed by the power dissipation during test mode. We suggest that the product of area overhead, switching activity, and test time be used as a measure of testability of a circuit. We refer to this measure as the AST measure. Section 2 describes some terminology and a few typical Built-in Self Test architectures used in modern practice. A comparative evaluation of these architectures is presented in Section 3. We performed the comparison on the example of a CORDIC computer [9] which is useful in evaluating trigonometric functions in a fast and accurate manner. Our experimental results are given in Section 4 and conclusions are presented in Section 5. 2 BIST Architectures BIST relies on the addition of two components, a pattern generator (PG) and a response analyzer (RA). Input patterns generated by the PG are applied to the circuit under test (CUT) and the responses of the CUT are compressed by the RA. Typically, a linear feedback shift register (LFSR) is used as a PG as well as an RA. An n,-bit LFSR consists of n D-type flip-flops connected in the form of a shift-right register. The D-input to the left-most flip-flop is of the form, G C2 Q2 (D CD Cn Qn (1) where Qi is the output of flip-flop i and Cj is a 0/1 variable. The polynomial P(x) = 1 + Cvx + C 2 x 2 + C 3 x C n x n defines the values of C; and is known as the characteristic polynomial of the LFSR. The polynomial P*(x) = l+c n^x+c n - 2 x Cix n - 1 +x n is called the reciprocal of P{x). An LFSR which uses P*(x) as its characteristic polynomial is known as the reciprocal LFSR of an LFSR whose characteristic polynomial is P(x). The initial content of the LFSR is called the seed. Depending on the characteristic polynomial and the seed, the LFSR generates an rt-bit pseudo-random test sequence at the output (Q1Q2 Qn)- The length of the pseudorandom test sequence (before the sequence repeats itself) is limited by 2". The length of the pseudo-random test sequence governs the test application time as well as the achievable fault coverage. There are several variations of the LFSR to (a) produce a biased distribution of 0's and l's in the output [12] (b) load different seeds at intermediate points [7], and so on. In an LFSR-SR approach, an ni-bit LFSR is cascaded with an ;?, 2 -bit shift register i.e. the output Q, n of the LFSR is connected as the input Di of the shift register. A CUT is called an (n, w) cut if it has n primary inputs and w is the number of input variables on which any output of the CUT is dependent. When w < ^, an LFSR-SR can exhaustively test the CUT. For w > f, a condensed LFSR [10] can be chosen. An LFSR can be used as a response analyzer by integrating the output(s) of the CUT. The content of the response analyzer at the end of the application of the pseudo-random test sequence is known as the signature of the CUT. More 431

3 1, 1 LFSR 1 CUT 1 1 MISR 1 i i i seed is loaded in both LFSRs. Test generation is terminated when the desired fault coverage has been obtained. Once again, the seed is likely to influence the test length for a specified fault coverage. Test architecture 3 is shown in Figure l(c) and is based on the LFSR-SR scheme for pattern generation. A k-bit LFSR is cascaded with an (n - &)-bit shift register. The scheme can be generalized to the use of r LFSR-SR combinations, each of size -. We shall compare the above three BIST architectures for an example circuit, namely, the CORDIC computer [9]. We shall consider two variations of the CORDIC, namely, CORDIC-CLA and CORDIC-RBA, which make use of two different types of adders. These details are given in Section 4. 3 Comparative Evaluation Figure 1: BIST Architectures In order to compare the three test architectures, we must measure the fault coverage, test length, test area overhead, and the power dissipated during test mode. We used fault coverage as a constraint and used the AST product (see Section 1) as the measure of testability. Fault coverages of often than not, the signature of a faulty CUT is different from that of a fault-free CUT. Aliasing is said to to have resulted when the faulty signature is identical to the signature and were employed in our experiments. We generated behavioral and structural VHDL models of of the fault-free CUT. The probability of aliasing depends the components. The behavioral model was used to verify on the size of the LFSR, the characteristic polynomial, and the functionality of the circuit components. The Synopsys the seed. In the CORDIC example of Section 4, the CUT is Design Compiler tool was used to compile the structural either a 12-bit carry lookahead adder (n = 24) or a 12-bit VHDL descriptions into layouts using 0.8 /jm gate array redundant binary adder (n = 48). libraries available from VTI. For all the three test architectures mentioned in Section 2, we used the Built-in Logic Test Architectures We considered three test architectures for comparison. The first scheme uses an LFSR to exhaustively test the CUT. See Figure l(a). A primitive polynomial is used as the characteristic Block Observer (BILBO) [5] to realize the PRPG and MISR blocks. The BILBO can be reconfigured to function as either a PRPG or an MISR. The CORDIC computer which polynomial of the LFSR. For an (n, w) CUT with we considered as an example uses 12-bit precision. Thus, in outputs, we require an n-bit LFSR as a pattern generator and an m-bit LFSR as a signature register. Exhaustive dundant binary adder. The other data path elements which we realized a 12-bit carry look-ahead adder and a 12-bit re- testing of an n-input CUT requires us to apply 2" patterns. we needer were barrel shifters, parallel-in parallel-out registers, and shift registers. Whenever possible, the registers Since this can be a very large number, we limit the test length to a value which gives adequate fault coverage. The of the data path were reconfigured as BILBOs to reduce the fault coverage is estimated through fault simulation. When test area overhead. we truncate the test sequence before it reaches a length of We had access to the Verifault fault simulator. Structural 2", the seed will play a role in determining the fault coverage and the power dissipation. descriptions of the components were implemented in Verilog HDL to make use of the Verifault tool. For each test The second architecture, shown in Figure l(b), uses two LFSRs of size y to test an n-input CUT. We assume n is a multiple of 2. The characteristic polynomials of the LFSRs architecture, the PRPGs were initialized using a seed value and simulated to obtain a large trace of test vectors. The structural model of the data path elements (such as adder) are reciprocals of one another. The scheme can be gener- were given as input to the fault simulator. We stopped alized to use 2k LFSRs, each of which is ^--bits. The apparent advantage of partitioning a large LFSR into smaller ones is to increase the amount of "randomness" in the n-bit patterns. A smaller number of test patterns in this scheme may suffice to obtain a specified fault coverage. The same the simulation after a specified fault coverage was obtained ( or ). The power dissipation in any component was estimated by an 8-valued logic simulation program which we implemented for this purpose. The logic values supported in the 432

4 Xblhu XT/ I Table 1: Comparison of CLA and RBA Area fini* Critical path delay (ns) Detectable faults CLA RBA Figure 2: CORDIC Computer simulation are 0, 1, f, 4, glitch, glitch, glitch, and glitch. We implemented a compile d- code simulator. The switching activity measured by the program is a pessimistic estimate of the actual value since we assume that glitches will occur whenever they are likely to occur. For instance, if the inputs to a 2-input AND gate are 1" and, then we assume that a glitch will occur. Thus our simulator may overestimate the glitch power. Another approximation in our simulator is the fact that we limit the glitch patterns to only 4 patterns. A glitch will be treated as a glitch, thus underestimating the number of 0-1 transitions. A more accurate simulation will consider gate and interconnect delays to predict whether glitching will actually occur and the pattern of the glitch. 4 Results CORDIC Computer Voider [9] introduced the CORDIC technique to compute the sine and cosine of an angle accurately without requiring a multiplication operation. The CORDIC core is shown in Figure 2. The essential computation in CORDIC is where >> i stands for "rotate right by i bits." The adder used in the data path is cither a carry look-ahead adder (CLA) or a redundant binary adder (RBA). In the latter case, the X and Y registers hold the intermediate values in redundant binary [8], Redundant binary representation uses three logic values, namely, 0, 1, and -1. CORDIC algorithm based on redundant binary arithmetic executes faster since the time to add two redundant binary numbers is constant. The CLA takes O(log2n) average time to add two n-bit (2) (3) numbers due to carry propagation. There is no carry propagation in an RBA. An n-input CLA is a (2ra + 1, 2n + 1) CUT, since the output carry depends on both n-bit numbers and the input carry. The n-bit RBA, on the other hand, is a (4n,6)CUT. The influence of RBA on power dissipation is not obvious. Since an RBA requires a data path which is twice as wide as compared to a CLA, one would expect that the power will also double. But since there is no carry propagation, the average switching activity in an RBA is much lesser in a CLA. In a separate study, we have shown that the power-delay product of redundant CORDIC is smaller than that of a CLA-CORDIC implementation [4]. Test Plan The X and Y registers of the CORDIC datapath (Figure 2) are implemented as BILBO registers. During test mode, these registers are configured as pseudo-random pattern generators. The amount of shift is set to 0 in both barrel shifters. The registers XNEW and YNEW are configured as signature registers. One adder is tested during one test session. Table 1 shows the relative comparison of a 12-bit CLA and a 12-rbit RBA. The RBA occupies four times the area of a CLA and has a fault set which is three times as large that of a CLA. The critical path delay of an RBA, however, is smaller. In fact, the critical path of an RBA of arbitrary size will be the same as that of the RBA considered in Table 1, whereas the critical path of a larger size CLA will be larger. The results of comparison of test architectures for CORDIC-CLA are given in Table 2. For each test architecture, we report the area overhead (A), switching activity (SA), test length (TL), and AST metric for two specified values of fault coverage (FC), namely, FC = and FC =. The AST metric is scaled by a factor of Similar results are reported for CORDIC-RBA in Table 3. When area overhead is the point of comparison, Architecture 3 is superior since a shift register occupies smaller area than a similar sized BILBO. However, this architecture could not offer fault coverage in the case of CORDIC-CLA. Thus, in both datapaths, Architecture 1 offers a lower AST value than Architecture 2 and may be considered superior when fault coverage is required. We may also notice that the RBA circuit offers a lower value of AST. There is a marked difference between the switch- 433

5 ing activities and test lengths of the RBA and CLA circuits for the same test architecture, implying that the RBA circuit will dissipate less power during testing. This highlights the importance of selecting a suitable datapath architecture when the test power is an important consideration. The importance of selecting a test architecture for reducing test power is evident from the fact that the switching activity can increase 14 fold from one test architecture to other. (Compare the switching activity for Architecture 2, with the switching activity for Architecture 1,.) 5 Conclusions FC SA A TL AST Table 2 Sd BIST Architectures for CORDIC-CLA arch M arch M arch % 99.8% In this paper, our aim has been to study the effect of BIST architecture on the test power. Test architectures have been compared on grounds of the fault coverage, test length and test area overhead. We suggest that the area-switching activity-test time product may be used as a metric to compare test architectures, with fault-coverage as a constraint. We selected three test architectures and compared their AST metric for two different datapaths, two seeds, and two faultcoverages. Our results indicate that no architecture is universally superior. We have shown that the test power can vary as much as 14 times across test architectures. Similarly, we have highlighted the fact that the choice of the datapath for minimizing test power is an important problem. This work needs to be carried further by considering other test architectures and datapath examples to further study their influence on the AST metric. References [1] A.R Chandrakasan and R.W. Brodersen. Low Power Digital CMOS Design. Kluwer Acad. Pub., [2] R.M. Chou, K.K. Saluja, and V.D. Agrawal. Scheduling tests for VLSI systems under power constraints. IEEE Transactions on VLSI Systems, 5(2), June [3] P. Geisinger. Design and test of IEEE Design and Test of Computers, pages 42-50, June [4] Bindu John and C.P. Ravikumar. Low power CORDIC realization using redundant binary arithmetic. Manuscript, [ B. Koenemann, J. Nucha, and G. Zwiehoff. Built-in logic block observation techniques. In Proceedings of International Test Conference, [6] Y. Nozuyama, A. Nashimura, and J. Iwamura. Design for testability of a 32-bit microprocessor, the txl. In Proceedings of International Test Conference, pages , Table 3 BIST Architectures for CORDIC-RBA Sd arch 1 arch 2 arch 3 Fault Cov. Swit. Act. Area Test Len AST [7] J. Savir and W.H. McAnney. A multiple seed linear feedback shift register. IEEE Trans, on Comp., C- 41(2):250-2, [8] N. Takagi et al. Redundant CORDIC chip with a constant scale factor for sine and cosine calculations. IEEE Trans, on Comp., C-40(9), [9] J.E. Voider. The CORDIC trig, computing tech.. IRE Trans, on Elec. Comp., EC-8: , [10] L.T. Wang and E.J. McCluskey. Condensed linear feedback shift register testing - a pseudoexhaustive test technique. IEEE Trans, on Comp., , [11] S. Wang and S.K. Gupta. ATPG for power dissipation minimization during scan testing. In Proc. of the DAC, , [12] H. Wunderlich. Multiple distributions of biased random test. In Proc. ofltc, , [13] Y. Zorian. A distributed BIST control scheme for complex VLSI devices. In Proc. of the 11th VTS, 4-9,

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September-2014 917 The Power Optimization of Linear Feedback Shift Register Using Fault Coverage Circuits K.YARRAYYA1, K CHITAMBARA

More information

Built-In Self-Test (BIST) Abdil Rashid Mohamed, Embedded Systems Laboratory (ESLAB) Linköping University, Sweden

Built-In Self-Test (BIST) Abdil Rashid Mohamed, Embedded Systems Laboratory (ESLAB) Linköping University, Sweden Built-In Self-Test (BIST) Abdil Rashid Mohamed, abdmo@ida ida.liu.se Embedded Systems Laboratory (ESLAB) Linköping University, Sweden Introduction BIST --> Built-In Self Test BIST - part of the circuit

More information

Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory. National Central University

Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory. National Central University Chapter 3 Basics of VLSI Testing (2) Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory Department of Electrical Engineering National Central University Jhongli, Taiwan Outline Testing Process Fault

More information

Design of Fault Coverage Test Pattern Generator Using LFSR

Design of Fault Coverage Test Pattern Generator Using LFSR Design of Fault Coverage Test Pattern Generator Using LFSR B.Saritha M.Tech Student, Department of ECE, Dhruva Institue of Engineering & Technology. Abstract: A new fault coverage test pattern generator

More information

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab. Built-In Self Test 2

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab. Built-In Self Test 2 CMOS INTEGRATE CIRCUIT ESIGN TECHNIUES University of Ioannina Built In Self Test (BIST) ept. of Computer Science and Engineering Y. Tsiatouhas CMOS Integrated Circuit esign Techniques VLSI Systems and

More information

Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA

Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA M.V.M.Lahari 1, M.Mani Kumari 2 1,2 Department of ECE, GVPCEOW,Visakhapatnam. Abstract The increasing growth of sub-micron

More information

VLSI System Testing. BIST Motivation

VLSI System Testing. BIST Motivation ECE 538 VLSI System Testing Krish Chakrabarty Built-In Self-Test (BIST): ECE 538 Krish Chakrabarty BIST Motivation Useful for field test and diagnosis (less expensive than a local automatic test equipment)

More information

Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques

Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques Akkala Suvarna Ratna M.Tech (VLSI & ES), Department of ECE, Sri Vani School of Engineering, Vijayawada. Abstract: A new

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET476) Lecture 9 (2) Built-In-Self Test (Chapter 5) Said Hamdioui Computer Engineering Lab Delft University of Technology 29-2 Learning aims Describe the concept and

More information

Diagnosis of Resistive open Fault using Scan Based Techniques

Diagnosis of Resistive open Fault using Scan Based Techniques Diagnosis of Resistive open Fault using Scan Based Techniques 1 Mr. A. Muthu Krishnan. M.E., (Ph.D), 2. G. Chandra Theepa Assistant Professor 1, PG Scholar 2,Dept. of ECE, Regional Office, Anna University,

More information

ISSN (c) MIT Publications

ISSN (c) MIT Publications MIT International Journal of Electronics and Communication Engineering, Vol. 2, No. 2, Aug. 2012, pp. (83-88) 83 BIST- Built in Self Test A Testing Technique Alpana Singh MIT, Moradabad, UP, INDIA Email:

More information

Design and Implementation OF Logic-BIST Architecture for I2C Slave VLSI ASIC Design Using Verilog

Design and Implementation OF Logic-BIST Architecture for I2C Slave VLSI ASIC Design Using Verilog Design and Implementation OF Logic-BIST Architecture for I2C Slave VLSI ASIC Design Using Verilog 1 Manish J Patel, 2 Nehal Parmar, 3 Vishwas Chaudhari 1, 2, 3 PG Students (VLSI & ESD) Gujarat Technological

More information

A Novel Low Power pattern Generation Technique for Concurrent Bist Architecture

A Novel Low Power pattern Generation Technique for Concurrent Bist Architecture A Novel Low Power pattern Generation Technique for Concurrent Bist Architecture Y. Balasubrahamanyam, G. Leenendra Chowdary, T.J.V.S.Subrahmanyam Research Scholar, Dept. of ECE, Sasi institute of Technology

More information

DETERMINISTIC SEED RANGE AND TEST PATTERN DECREASE IN LOGIC BIST

DETERMINISTIC SEED RANGE AND TEST PATTERN DECREASE IN LOGIC BIST DETERMINISTIC SEED RANGE AND TEST PATTERN DECREASE IN LOGIC BIST PAVAN KUMAR GABBITI 1*, KATRAGADDA ANITHA 2* 1. Dept of ECE, Malineni Lakshmaiah Engineering College, Andhra Pradesh, India. Email Id :pavankumar.gabbiti11@gmail.com

More information

Design for Test. Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective.

Design for Test. Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective. Design for Test Definition: Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective. Types: Design for Testability Enhanced access Built-In

More information

ISSN:

ISSN: 191 Low Power Test Pattern Generator Using LFSR and Single Input Changing Generator (SICG) for BIST Applications A K MOHANTY 1, B P SAHU 2, S S MAHATO 3 Department of Electronics and Communication Engineering,

More information

A New Approach to Design Fault Coverage Circuit with Efficient Hardware Utilization for Testing Applications

A New Approach to Design Fault Coverage Circuit with Efficient Hardware Utilization for Testing Applications A New Approach to Design Fault Coverage Circuit with Efficient Hardware Utilization for Testing Applications S. Krishna Chaitanya Department of Electronics & Communication Engineering, Hyderabad Institute

More information

A New Low Energy BIST Using A Statistical Code

A New Low Energy BIST Using A Statistical Code A New Low Energy BIST Using A Statistical Code Sunghoon Chun, Taejin Kim and Sungho Kang Department of Electrical and Electronic Engineering Yonsei University 134 Shinchon-dong Seodaemoon-gu, Seoul, Korea

More information

Low Transition-Generalized Linear Feedback Shift Register Based Test Pattern Generator Architecture for Built-in-Self-Test

Low Transition-Generalized Linear Feedback Shift Register Based Test Pattern Generator Architecture for Built-in-Self-Test Journal of Computer Science 8 (6): 815-81, 01 ISSN 1549-3636 01 Science Publications Low Transition-Generalized Linear Feedback Shift Register Based Test Pattern Generator Architecture for Built-in-Self-Test

More information

A Novel Method for UVM & BIST Using Low Power Test Pattern Generator

A Novel Method for UVM & BIST Using Low Power Test Pattern Generator A Novel Method for UVM & BIST Using Low Power Test Pattern Generator Boggarapu Kantha Rao 1 ; Ch.swathi 2 & Dr. Murali Malijeddi 3 1 HOD &Assoc Prof, Medha Institute of Science and Technology for Women

More information

ECE 715 System on Chip Design and Test. Lecture 22

ECE 715 System on Chip Design and Test. Lecture 22 ECE 75 System on Chip Design and Test Lecture 22 Response Compaction Severe amounts of data in CUT response to LFSR patterns example: Generate 5 million random patterns CUT has 2 outputs Leads to: 5 million

More information

DESIGN OF LOW POWER TEST PATTERN GENERATOR

DESIGN OF LOW POWER TEST PATTERN GENERATOR International Journal of Electronics, Communication & Instrumentation Engineering Research and Development (IJECIERD) ISSN(P): 2249-684X; ISSN(E): 2249-7951 Vol. 4, Issue 1, Feb 2014, 59-66 TJPRC Pvt.

More information

VHDL Implementation of Logic BIST (Built In Self Test) Architecture for Multiplier Circuit for High Test Coverage in VLSI Chips

VHDL Implementation of Logic BIST (Built In Self Test) Architecture for Multiplier Circuit for High Test Coverage in VLSI Chips VHDL Implementation of Logic BIST (Built In Self Test) Architecture for Multiplier Circuit for High Test Coverage in VLSI Chips Pushpraj Singh Tanwar, Priyanka Shrivastava Assistant professor, Dept. of

More information

SIC Vector Generation Using Test per Clock and Test per Scan

SIC Vector Generation Using Test per Clock and Test per Scan International Journal of Emerging Engineering Research and Technology Volume 2, Issue 8, November 2014, PP 84-89 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) SIC Vector Generation Using Test per Clock

More information

Bit-Serial Test Pattern Generation by an Accumulator behaving as a Non-Linear Feedback Shift Register

Bit-Serial Test Pattern Generation by an Accumulator behaving as a Non-Linear Feedback Shift Register Bit-Serial Test Pattern Generation by an Accumulator behaving as a Non-Linear Feedbac Shift Register G Dimitraopoulos, D Niolos and D Baalis Computer Engineering and Informatics Dept, University of Patras,

More information

Overview: Logic BIST

Overview: Logic BIST VLSI Design Verification and Testing Built-In Self-Test (BIST) - 2 Mohammad Tehranipoor Electrical and Computer Engineering University of Connecticut 23 April 2007 1 Overview: Logic BIST Motivation Built-in

More information

Design of BIST Enabled UART with MISR

Design of BIST Enabled UART with MISR International Journal of Emerging Engineering Research and Technology Volume 3, Issue 8, August 2015, PP 85-89 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) ABSTRACT Design of BIST Enabled UART with

More information

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL Random Access Scan Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL ramamve@auburn.edu Term Paper for ELEC 7250 (Spring 2005) Abstract: Random Access

More information

Testing Digital Systems II

Testing Digital Systems II Testing Digital Systems II Lecture 5: Built-in Self Test (I) Instructor: M. Tahoori Copyright 2010, M. Tahoori TDS II: Lecture 5 1 Outline Introduction (Lecture 5) Test Pattern Generation (Lecture 5) Pseudo-Random

More information

Controlling Peak Power During Scan Testing

Controlling Peak Power During Scan Testing Controlling Peak Power During Scan Testing Ranganathan Sankaralingam and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering University of Texas, Austin,

More information

Implementation of BIST Test Generation Scheme based on Single and Programmable Twisted Ring Counters

Implementation of BIST Test Generation Scheme based on Single and Programmable Twisted Ring Counters IOSR Journal of Mechanical and Civil Engineering (IOSR-JMCE) e-issn: 2278-1684, p-issn: 2320-334X Implementation of BIST Test Generation Scheme based on Single and Programmable Twisted Ring Counters N.Dilip

More information

Low Transition Test Pattern Generator Architecture for Built-in-Self-Test

Low Transition Test Pattern Generator Architecture for Built-in-Self-Test American Journal of Applied Sciences 9 (9): 1396-1406, 2012 ISSN 1546-9239 2012 Science Publication Low Transition Test Pattern Generator Architecture for Built-in-Self-Test 1 Sakthivel, P., 2 A. NirmalKumar

More information

CMOS Testing-2. Design for testability (DFT) Design and Test Flow: Old View Test was merely an afterthought. Specification. Design errors.

CMOS Testing-2. Design for testability (DFT) Design and Test Flow: Old View Test was merely an afterthought. Specification. Design errors. Design and test CMOS Testing- Design for testability (DFT) Scan design Built-in self-test IDDQ testing ECE 261 Krish Chakrabarty 1 Design and Test Flow: Old View Test was merely an afterthought Specification

More information

TEST PATTERN GENERATION USING PSEUDORANDOM BIST

TEST PATTERN GENERATION USING PSEUDORANDOM BIST TEST PATTERN GENERATION USING PSEUDORANDOM BIST GaneshBabu.J 1, Radhika.P 2 PG Student [VLSI], Dept. of ECE, SRM University, Chennai, Tamilnadu, India 1 Assistant Professor [O.G], Dept. of ECE, SRM University,

More information

Testability: Lecture 23 Design for Testability (DFT) Slide 1 of 43

Testability: Lecture 23 Design for Testability (DFT) Slide 1 of 43 Testability: Lecture 23 Design for Testability (DFT) Shaahin hi Hessabi Department of Computer Engineering Sharif University of Technology Adapted, with modifications, from lecture notes prepared p by

More information

Transactions Brief. Circular BIST With State Skipping

Transactions Brief. Circular BIST With State Skipping 668 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 10, NO. 5, OCTOBER 2002 Transactions Brief Circular BIST With State Skipping Nur A. Touba Abstract Circular built-in self-test

More information

Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction

Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction Low Illinois Scan Architecture for Simultaneous and Test Data Volume Anshuman Chandra, Felix Ng and Rohit Kapur Synopsys, Inc., 7 E. Middlefield Rd., Mountain View, CA Abstract We present Low Illinois

More information

Lecture 23 Design for Testability (DFT): Full-Scan (chapter14)

Lecture 23 Design for Testability (DFT): Full-Scan (chapter14) Lecture 23 Design for Testability (DFT): Full-Scan (chapter14) Definition Ad-hoc methods Scan design Design rules Scan register Scan flip-flops Scan test sequences Overheads Scan design system Summary

More information

Retiming Sequential Circuits for Low Power

Retiming Sequential Circuits for Low Power Retiming Sequential Circuits for Low Power José Monteiro, Srinivas Devadas Department of EECS MIT, Cambridge, MA Abhijit Ghosh Mitsubishi Electric Research Laboratories Sunnyvale, CA Abstract Switching

More information

I. INTRODUCTION. S Ramkumar. D Punitha

I. INTRODUCTION. S Ramkumar. D Punitha Efficient Test Pattern Generator for BIST Using Multiple Single Input Change Vectors D Punitha Master of Engineering VLSI Design Sethu Institute of Technology Kariapatti, Tamilnadu, 626106 India punithasuresh3555@gmail.com

More information

Response Compaction with any Number of Unknowns using a new LFSR Architecture*

Response Compaction with any Number of Unknowns using a new LFSR Architecture* Response Compaction with any Number of Unknowns using a new LFSR Architecture* Agilent Laboratories Palo Alto, CA Erik_Volkerink@Agilent.com Erik H. Volkerink, and Subhasish Mitra,3 Intel Corporation Folsom,

More information

Design and Implementation of Low Power Linear Feedback Shift Segisters for Vlsi Application

Design and Implementation of Low Power Linear Feedback Shift Segisters for Vlsi Application 24 Design and Implementation of Low Power Linear Feedback Shift Segisters for Vlsi Application 1. A.V.PRABU 2.T.APPA RAO 3. TUSHAR KANT PANDA 4.PADMINI MISHRA 5. L.SIVA PRASAD 6.R.DHAMODHARAN ABSTRACT:

More information

Weighted Random and Transition Density Patterns For Scan-BIST

Weighted Random and Transition Density Patterns For Scan-BIST Weighted Random and Transition Density Patterns For Scan-BIST Farhana Rashid Intel Corporation 1501 S. Mo-Pac Expressway, Suite 400 Austin, TX 78746 USA Email: farhana.rashid@intel.com Vishwani Agrawal

More information

Doctor of Philosophy

Doctor of Philosophy LOW POWER HIGH FAULT COVERAGE TEST TECHNIQUES FOR D IGITAL VLSI CIRCUITS By Abdallatif S. Abuissa A thesis submitted to The University of Birmingham for the Degree of Doctor of Philosophy School of Electronic,

More information

Power Problems in VLSI Circuit Testing

Power Problems in VLSI Circuit Testing Power Problems in VLSI Circuit Testing Farhana Rashid and Vishwani D. Agrawal Auburn University Department of Electrical and Computer Engineering 200 Broun Hall, Auburn, AL 36849 USA fzr0001@tigermail.auburn.edu,

More information

Controlled Transition Density Based Power Constrained Scan-BIST with Reduced Test Time. Farhana Rashid

Controlled Transition Density Based Power Constrained Scan-BIST with Reduced Test Time. Farhana Rashid Controlled Transition Density Based Power Constrained Scan-BIST with Reduced Test Time by Farhana Rashid A thesis submitted to the Graduate Faculty of Auburn University in partial fulfillment of the requirements

More information

Available online at ScienceDirect. Procedia Computer Science 46 (2015 ) Aida S Tharakan a *, Binu K Mathew b

Available online at  ScienceDirect. Procedia Computer Science 46 (2015 ) Aida S Tharakan a *, Binu K Mathew b Available online at www.sciencedirect.com ScienceDirect Procedia Computer Science 46 (2015 ) 1409 1416 International Conference on Information and Communication Technologies (ICICT 2014) Design and Implementation

More information

Lecture 23 Design for Testability (DFT): Full-Scan

Lecture 23 Design for Testability (DFT): Full-Scan Lecture 23 Design for Testability (DFT): Full-Scan (Lecture 19alt in the Alternative Sequence) Definition Ad-hoc methods Scan design Design rules Scan register Scan flip-flops Scan test sequences Overheads

More information

Unit 8: Testability. Prof. Roopa Kulkarni, GIT, Belgaum. 29

Unit 8: Testability. Prof. Roopa Kulkarni, GIT, Belgaum. 29 Unit 8: Testability Objective: At the end of this unit we will be able to understand Design for testability (DFT) DFT methods for digital circuits: Ad-hoc methods Structured methods: Scan Level Sensitive

More information

This Chapter describes the concepts of scan based testing, issues in testing, need

This Chapter describes the concepts of scan based testing, issues in testing, need Chapter 2 AT-SPEED TESTING AND LOGIC BUILT IN SELF TEST 2.1 Introduction This Chapter describes the concepts of scan based testing, issues in testing, need for logic BIST and trends in VLSI testing. Scan

More information

Efficient Test Pattern Generation Scheme with modified seed circuit.

Efficient Test Pattern Generation Scheme with modified seed circuit. Efficient Test Pattern Generation Scheme with modified seed circuit. PAYEL MUKHERJEE, Mrs. N.SARASWATHI Abstract This paper proposes a modified test pattern generator which produces single bit change vectors

More information

DESIGN FOR TESTABILITY

DESIGN FOR TESTABILITY DESIGN FOR TESTABILITY Raimund Ubar raiub@pld.ttu.ee Design for Testability Lectures Testability of Digital Systems Design for Testability Methods BIST/BISD Practical Works Two laboratory works Course

More information

128 BIT CARRY SELECT ADDER USING BINARY TO EXCESS-ONE CONVERTER FOR DELAY REDUCTION AND AREA EFFICIENCY

128 BIT CARRY SELECT ADDER USING BINARY TO EXCESS-ONE CONVERTER FOR DELAY REDUCTION AND AREA EFFICIENCY 128 BIT CARRY SELECT ADDER USING BINARY TO EXCESS-ONE CONVERTER FOR DELAY REDUCTION AND AREA EFFICIENCY 1 Mrs.K.K. Varalaxmi, M.Tech, Assoc. Professor, ECE Department, 1varuhello@Gmail.Com 2 Shaik Shamshad

More information

Based on slides/material by. Topic 14. Testing. Testing. Logic Verification. Recommended Reading:

Based on slides/material by. Topic 14. Testing. Testing. Logic Verification. Recommended Reading: Based on slides/material by Topic 4 Testing Peter Y. K. Cheung Department of Electrical & Electronic Engineering Imperial College London!! K. Masselos http://cas.ee.ic.ac.uk/~kostas!! J. Rabaey http://bwrc.eecs.berkeley.edu/classes/icbook/instructors.html

More information

[Krishna*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785

[Krishna*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY DESIGN AND IMPLEMENTATION OF BIST TECHNIQUE IN UART SERIAL COMMUNICATION M.Hari Krishna*, P.Pavan Kumar * Electronics and Communication

More information

Design of BIST with Low Power Test Pattern Generator

Design of BIST with Low Power Test Pattern Generator IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 4, Issue 5, Ver. II (Sep-Oct. 2014), PP 30-39 e-issn: 2319 4200, p-issn No. : 2319 4197 Design of BIST with Low Power Test Pattern Generator

More information

Testing Sequential Circuits

Testing Sequential Circuits Testing Sequential Circuits 9/25/ Testing Sequential Circuits Test for Functionality Timing (components too slow, too fast, not synchronized) Parts: Combinational logic: faults: stuck /, delay Flip-flops:

More information

IMPLEMENTATION OF X-FACTOR CIRCUITRY IN DECOMPRESSOR ARCHITECTURE

IMPLEMENTATION OF X-FACTOR CIRCUITRY IN DECOMPRESSOR ARCHITECTURE IMPLEMENTATION OF X-FACTOR CIRCUITRY IN DECOMPRESSOR ARCHITECTURE SATHISHKUMAR.K #1, SARAVANAN.S #2, VIJAYSAI. R #3 School of Computing, M.Tech VLSI design, SASTRA University Thanjavur, Tamil Nadu, 613401,

More information

VLSI Design Verification and Test BIST II CMPE 646 Space Compaction Multiple Outputs We need to treat the general case of a k-output circuit.

VLSI Design Verification and Test BIST II CMPE 646 Space Compaction Multiple Outputs We need to treat the general case of a k-output circuit. Space Compaction Multiple Outputs We need to treat the general case of a k-output circuit. Test Set L m CUT k LFSR There are several possibilities: Multiplex the k outputs of the CUT. M 1 P(X)=X 4 +X+1

More information

Fpga Implementation of Low Complexity Test Circuits Using Shift Registers

Fpga Implementation of Low Complexity Test Circuits Using Shift Registers Fpga Implementation of Low Complexity Test Circuits Using Shift Registers Mohammed Yasir, Shameer.S (M.Tech in Applied Electronics,MG University College Of Engineering,Muttom,Kerala,India) (M.Tech in Applied

More information

LFSR TEST PATTERN FOR FAULT DETECTION AND DIAGNOSIS FOR FPGA CLB CELLS

LFSR TEST PATTERN FOR FAULT DETECTION AND DIAGNOSIS FOR FPGA CLB CELLS LFSR TEST PATTERN FOR FAULT DETECTION AND DIAGNOSIS FOR FPGA CLB CELLS Fazal Noorbasha, K. Harikishore, Ch. Hemanth, A. Sivasairam, V. Vijaya Raju Department of ECE, KL University, Vaddeswaram, Guntur

More information

data and is used in digital networks and storage devices. CRC s are easy to implement in binary

data and is used in digital networks and storage devices. CRC s are easy to implement in binary Introduction Cyclic redundancy check (CRC) is an error detecting code designed to detect changes in transmitted data and is used in digital networks and storage devices. CRC s are easy to implement in

More information

Design and Implementation of Uart with Bist for Low Power Dissipation Using Lp-Tpg

Design and Implementation of Uart with Bist for Low Power Dissipation Using Lp-Tpg IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 6, Issue 3, Ver. II (May. -Jun. 2016), PP 26-31 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Design and Implementation of

More information

Testing Digital Systems II

Testing Digital Systems II Testing Digital Systems II Lecture 7: Built-in Self Test (III) Instructor: M. Tahoori Copyright 206, M. Tahoori TDS II: Lecture 7 BIST Architectures Copyright 206, M. Tahoori TDS II: Lecture 7 2 Lecture

More information

Using on-chip Test Pattern Compression for Full Scan SoC Designs

Using on-chip Test Pattern Compression for Full Scan SoC Designs Using on-chip Test Pattern Compression for Full Scan SoC Designs Helmut Lang Senior Staff Engineer Jens Pfeiffer CAD Engineer Jeff Maguire Principal Staff Engineer Motorola SPS, System-on-a-Chip Design

More information

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Final Examination CLOSED BOOK

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Final Examination CLOSED BOOK Department of Electrical and Computer Engineering University of Wisconsin Madison Fall 2014-2015 Final Examination CLOSED BOOK Kewal K. Saluja Date: December 14, 2014 Place: Room 3418 Engineering Hall

More information

An optimized implementation of 128 bit carry select adder using binary to excess-one converter for delay reduction and area efficiency

An optimized implementation of 128 bit carry select adder using binary to excess-one converter for delay reduction and area efficiency Journal From the SelectedWorks of Journal December, 2014 An optimized implementation of 128 bit carry select adder using binary to excess-one converter for delay reduction and area efficiency P. Manga

More information

UNIT IV CMOS TESTING. EC2354_Unit IV 1

UNIT IV CMOS TESTING. EC2354_Unit IV 1 UNIT IV CMOS TESTING EC2354_Unit IV 1 Outline Testing Logic Verification Silicon Debug Manufacturing Test Fault Models Observability and Controllability Design for Test Scan BIST Boundary Scan EC2354_Unit

More information

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath Objectives Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath In the previous chapters we have studied how to develop a specification from a given application, and

More information

CPE 628 Chapter 5 Logic Built-In Self-Test. Dr. Rhonda Kay Gaede UAH. UAH Chapter Introduction

CPE 628 Chapter 5 Logic Built-In Self-Test. Dr. Rhonda Kay Gaede UAH. UAH Chapter Introduction Chapter 5 Logic Built-In Self-Test Dr. Rhonda Kay Gaede UAH 1 5.1 Introduction Introduce the basic concepts of BIST BIST Rules Test pattern generation and output techniques Fault Coverage Various BIST

More information

II. ANALYSIS I. INTRODUCTION

II. ANALYSIS I. INTRODUCTION Characterizing Dynamic and Leakage Power Behavior in Flip-Flops R. Ramanarayanan, N. Vijaykrishnan and M. J. Irwin Dept. of Computer Science and Engineering Pennsylvania State University, PA 1682 Abstract

More information

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits N.Brindha, A.Kaleel Rahuman ABSTRACT: Auto scan, a design for testability (DFT) technique for synchronous sequential circuits.

More information

FPGA Implementation of Low Power Self Testable MIPS Processor

FPGA Implementation of Low Power Self Testable MIPS Processor American-Eurasian Journal of Scientific Research 12 (3): 135-144, 2017 ISSN 1818-6785 IDOSI Publications, 2017 DOI: 10.5829/idosi.aejsr.2017.135.144 FPGA Implementation of Low Power Self Testable MIPS

More information

VLSI Design: 3) Explain the various MOSFET Capacitances & their significance. 4) Draw a CMOS Inverter. Explain its transfer characteristics

VLSI Design: 3) Explain the various MOSFET Capacitances & their significance. 4) Draw a CMOS Inverter. Explain its transfer characteristics 1) Explain why & how a MOSFET works VLSI Design: 2) Draw Vds-Ids curve for a MOSFET. Now, show how this curve changes (a) with increasing Vgs (b) with increasing transistor width (c) considering Channel

More information

Final Exam CPSC/ECEN 680 May 2, Name: UIN:

Final Exam CPSC/ECEN 680 May 2, Name: UIN: Final Exam CPSC/ECEN 680 May 2, 2008 Name: UIN: Instructions This exam is closed book. Provide brief but complete answers to the following questions in the space provided, using figures as necessary. Show

More information

LFSR Counter Implementation in CMOS VLSI

LFSR Counter Implementation in CMOS VLSI LFSR Counter Implementation in CMOS VLSI Doshi N. A., Dhobale S. B., and Kakade S. R. Abstract As chip manufacturing technology is suddenly on the threshold of major evaluation, which shrinks chip in size

More information

Analysis of Low Power Test Pattern Generator by Using Low Power Linear Feedback Shift Register (LP-LFSR)

Analysis of Low Power Test Pattern Generator by Using Low Power Linear Feedback Shift Register (LP-LFSR) Analysis of Low Power Test Pattern Generator by Using Low Power Linear Feedback Shift Register (LP-LFSR) Nelli Shireesha 1, Katakam Divya 2 1 MTech Student, Dept of ECE, SR Engineering College, Warangal,

More information

Implementation of Low Power Test Pattern Generator Using LFSR

Implementation of Low Power Test Pattern Generator Using LFSR Implementation of Low Power Test Pattern Generator Using LFSR K. Supriya 1, B. Rekha 2 1 Teegala Krishna Reddy Engineering College, Student, M. Tech, VLSI-SD, E.C.E Dept., Hyderabad, India 2 Teegala Krishna

More information

A Novel Low-overhead Delay Testing Technique for Arbitrary Two-Pattern Test Application

A Novel Low-overhead Delay Testing Technique for Arbitrary Two-Pattern Test Application A Novel Low-overhead elay Testing Technique for Arbitrary Two-Pattern Test Application Swarup Bhunia, Hamid Mahmoodi, Arijit Raychowdhury, and Kaushik Roy School of Electrical and Computer Engineering,

More information

A Technique to Reduce Peak Current and Average Power Dissipation in Scan Designs by Limited Capture

A Technique to Reduce Peak Current and Average Power Dissipation in Scan Designs by Limited Capture A Technique to Reduce Peak Current and Average Power Dissipation in Scan Designs by Limited Capture Seongmoon Wang Wenlong Wei NEC Labs., America, Princeton, NJ swang,wwei @nec-labs.com Abstract In this

More information

Scan-shift Power Reduction Based on Scan Partitioning and Q-D Connection

Scan-shift Power Reduction Based on Scan Partitioning and Q-D Connection Scan-shift Power Reduction Based on Scan Partitioning and Q-D Connection Tiebin Wu, Li Zhou and Hengzhu Liu College of Computer, National University of Defense Technology Changsha, China e-mails: {tiebinwu@126.com,

More information

VLSI Implementation for BIST Controller using Signed and Unsigned Multiplier

VLSI Implementation for BIST Controller using Signed and Unsigned Multiplier VLSI Implementation for BIST Controller using Signed and Unsigned Multiplier Dileep Kumar 1, Ghanshyam 2 1 Student [B.TECH (ECE) + M.TECH (VLSI)], Gyan Vihar School of Engineering and Technology 2 M.Tech

More information

DC Ultra. Concurrent Timing, Area, Power and Test Optimization. Overview

DC Ultra. Concurrent Timing, Area, Power and Test Optimization. Overview DATASHEET DC Ultra Concurrent Timing, Area, Power and Test Optimization DC Ultra RTL synthesis solution enables users to meet today s design challenges with concurrent optimization of timing, area, power

More information

Microprocessor Design

Microprocessor Design Microprocessor Design Principles and Practices With VHDL Enoch O. Hwang Brooks / Cole 2004 To my wife and children Windy, Jonathan and Michelle Contents 1. Designing a Microprocessor... 2 1.1 Overview

More information

Research Article Ring Counter Based ATPG for Low Transition Test Pattern Generation

Research Article Ring Counter Based ATPG for Low Transition Test Pattern Generation e Scientific World Journal Volume 205, Article ID 72965, 6 pages http://dx.doi.org/0.55/205/72965 Research Article Ring Counter Based ATPG for Low Transition Test Pattern Generation V. M. Thoulath Begam

More information

Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow

Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow Bradley R. Quinton*, Mark R. Greenstreet, Steven J.E. Wilton*, *Dept. of Electrical and Computer Engineering, Dept.

More information

A Modified Clock Scheme for a Low Power BIST Test Pattern Generator

A Modified Clock Scheme for a Low Power BIST Test Pattern Generator A Modified Clock Scheme for a Low Power BIST Test Pattern Generator P. Girard 1 L. Guiller 1 C. Landrault 1 S. Pravossoudovitch 1 H.J. Wunderlich 2 1 Laboratoire d Informatique, de Robotique et de Microélectronique

More information

Strategies for Efficient and Effective Scan Delay Testing. Chao Han

Strategies for Efficient and Effective Scan Delay Testing. Chao Han Strategies for Efficient and Effective Scan Delay Testing by Chao Han A thesis submitted to the Graduate Faculty of Auburn University in partial fulfillment of the requirements for the Degree of Master

More information

Cell-Aware Fault Analysis and Test Set Optimization in Digital Integrated Circuits

Cell-Aware Fault Analysis and Test Set Optimization in Digital Integrated Circuits Southern Methodist University SMU Scholar Computer Science and Engineering Theses and Dissertations Computer Science and Engineering Spring 5-19-2018 Cell-Aware Fault Analysis and Test Set Optimization

More information

nmos transistor Basics of VLSI Design and Test Solution: CMOS pmos transistor CMOS Inverter First-Order DC Analysis CMOS Inverter: Transient Response

nmos transistor Basics of VLSI Design and Test Solution: CMOS pmos transistor CMOS Inverter First-Order DC Analysis CMOS Inverter: Transient Response nmos transistor asics of VLSI Design and Test If the gate is high, the switch is on If the gate is low, the switch is off Mohammad Tehranipoor Drain ECE495/695: Introduction to Hardware Security & Trust

More information

Module 8. Testing of Embedded System. Version 2 EE IIT, Kharagpur 1

Module 8. Testing of Embedded System. Version 2 EE IIT, Kharagpur 1 Module 8 Testing of Embedded System Version 2 EE IIT, Kharagpur 1 Lesson 39 Design for Testability Version 2 EE IIT, Kharagpur 2 Instructional Objectives After going through this lesson the student would

More information

TKK S ASIC-PIIRIEN SUUNNITTELU

TKK S ASIC-PIIRIEN SUUNNITTELU Design TKK S-88.134 ASIC-PIIRIEN SUUNNITTELU Design Flow 3.2.2005 RTL Design 10.2.2005 Implementation 7.4.2005 Contents 1. Terminology 2. RTL to Parts flow 3. Logic synthesis 4. Static Timing Analysis

More information

DESIGN OF TEST PATTERN OF MULTIPLE SIC VECTORS FROM LOW POWER LFSR THEORY AND APPLICATIONS IN BIST SCHEMES

DESIGN OF TEST PATTERN OF MULTIPLE SIC VECTORS FROM LOW POWER LFSR THEORY AND APPLICATIONS IN BIST SCHEMES DESIGN OF TEST PATTERN OF MULTIPLE SIC VECTORS FROM LOW POWER LFSR THEORY AND APPLICATIONS IN BIST SCHEMES P. SANTHAMMA, T.S. GHOUSE BASHA, B.DEEPASREE ABSTRACT--- BUILT-IN SELF-TEST (BIST) techniques

More information

An MFA Binary Counter for Low Power Application

An MFA Binary Counter for Low Power Application Volume 118 No. 20 2018, 4947-4954 ISSN: 1314-3395 (on-line version) url: http://www.ijpam.eu ijpam.eu An MFA Binary Counter for Low Power Application Sneha P Department of ECE PSNA CET, Dindigul, India

More information

EE241 - Spring 2001 Advanced Digital Integrated Circuits. References

EE241 - Spring 2001 Advanced Digital Integrated Circuits. References EE241 - Spring 2001 Advanced Digital Integrated Circuits Lecture 28 References Rabaey, Digital Integrated Circuits and EE241 (1998) notes Chapter 25, ing of High-Performance Processors by D.K. Bhavsar

More information

Synthesis Techniques for Pseudo-Random Built-In Self-Test Based on the LFSR

Synthesis Techniques for Pseudo-Random Built-In Self-Test Based on the LFSR Volume 01, No. 01 www.semargroups.org Jul-Dec 2012, P.P. 67-74 Synthesis Techniques for Pseudo-Random Built-In Self-Test Based on the LFSR S.SRAVANTHI 1, C. HEMASUNDARA RAO 2 1 M.Tech Student of CMRIT,

More information

E-Learning Tools for Teaching Self-Test of Digital Electronics

E-Learning Tools for Teaching Self-Test of Digital Electronics E-Learning Tools for Teaching Self-Test of Digital Electronics A. Jutman 1, E. Gramatova 2, T. Pikula 2, R. Ubar 1 1 Tallinn University of Technology, Raja 15, 12618 Tallinn, Estonia 2 Institute of Informatics,

More information

Design for Testability

Design for Testability TDTS 01 Lecture 9 Design for Testability Zebo Peng Embedded Systems Laboratory IDA, Linköping University Lecture 9 The test problems Fault modeling Design for testability techniques Zebo Peng, IDA, LiTH

More information

Design of a Low Power Four-Bit Binary Counter Using Enhancement Type Mosfet

Design of a Low Power Four-Bit Binary Counter Using Enhancement Type Mosfet Design of a Low Power Four-Bit Binary Counter Using Enhancement Type Mosfet Praween Sinha Department of Electronics & Communication Engineering Maharaja Agrasen Institute Of Technology, Rohini sector -22,

More information

Evaluation of Fibonacci Test Pattern Generator for Cost Effective IC Testing

Evaluation of Fibonacci Test Pattern Generator for Cost Effective IC Testing Evaluation of Fibonacci Test Pattern Generator for Cost Effective IC Testing Md. Tanveer Ahmed, Liakot Ali Department of Information and Communication Technology Institute of Information and Communication

More information