COMPUTER ENGINEERING PROGRAM

Size: px
Start display at page:

Download "COMPUTER ENGINEERING PROGRAM"

Transcription

1 COMPUTER ENGINEERING PROGRAM California Polytechnic State University CPE 169 Experiment 6 Introduction to Digital System Design: Combinational Building Blocks Learning Objectives 1. Digital Design To understand and design several common digital components 2. VHDL Modeling To understand and utilize concurrent statements in digital circuit design 3. Digital System Design To learn a basic approach to integrating several digital components into a single circuit To understand and utilize concurrent statements in VHDL and the relation to digital system design and implementation Introduction and Overview In this experiment, you will design and implement two standard digital circuits: a BCD to 7-Segment Display Decoder and a Priority Encoder. This experiment builds upon the VHDL skills and knowledge of the Xilinx tools you developed in Experiment 5. In particular, this experiment demonstrates the strengths of VHDL by facilitating the integration of several digital circuits into a larger design. This design approach represents one of the most basic tenets of digital design: the Modular System Design. Modular System Design Good digital design practices involve the ability to segment a larger system into small pieces that can be designed and tested independently. The independent design and testing of these smaller pieces facilitates the integration of these components into a larger system-level design. The modular approach to digital design enhances design efficiency by allowing the reuse of previously designed modules. The modular approach also promotes an understanding of the final digital system by first encouraging the understanding of the individual digital modules involved. The modules designed in this experiment will be used again in a later CPE 169 experiment, as components of a digital alarm system. A diagram of the digital alarm system that you will implement later is shown in Figure 1. Because the modules developed in this experiment are used again in the course, it is important that you design each component to fully meet the given specifications, and thoroughly test them to confirm this. Having previously-designed and fully-tested modules eases the integration of these modules into the more complex circuit implementing the complete digital alarm system. In this experiment, you will design and implement two components of the Digital Alarm System shown in Figure 1. The two modules on today s menu are the BCD-to-7-Segment Display Decoder and the Priority Encoder. These modules are designed separately, tested individually, and then integrated into a working system

2 7-Segment Displays Figure 1: A digital alarm system. The 7-segment display is one of the most common display devices in the universe. These devices are typically used to display the full set of decimal digits, and are also capable of displaying the full gamut of hexadecimal numbers (although the case, upper vs. lower, of the alphabetic hex characters will not be consistent). Each of the 7-segment displays provided on your development board is formed with seven LEDs (light emitting diodes). You have already used a more common type of LED display, LD0 LD7, the small colored indicators that light up occasionally during your experimentation. The LEDs used in this experiment have been specially elongated by tiny robotic trolls using small stretching racks ( well maybe not ) and arranged and connected so that each segment can be independently illuminated (or not) to form patterns that resemble each of the decimal digits. The LED is a two-terminal, polarity-sensitive device that turns on when the voltage conditions across the two terminals are correct. The two terminals of this device are referred to as the anode and the cathode. To make the LED emit light, you must provide a voltage on the anode side that is at least 0.7 Volts higher than the voltage on the cathode terminal. What this means to you in digital design land is that whether you need to provide the LED segment with a 1 or a 0 from the FPGA to make it light up depends on how the LED is wired into the circuit (i.e. which terminal of the diode you are driving and what voltage the other terminal is connected to). To find out exactly how the LED segments are connected, the common practice of future digital design superstars is to read the specification of the circuit board you re using. (It s waiting for you now on the CPE 169 website.) Representing particular decimal numbers on a display is accomplished by turning on specific combinations of the seven individual LED segments. Each of the seven segments is referenced by a unique letter identifier. The most common orientation of these segment identifiers is shown in Figure 2(a). On the development board, the signals connected to each of the LED segments uses these same identifiers, preceded by either an A or a C to indicate if the signal is connected to the anode or cathode side of the segment LED. Using the 7-segment display to create the appearance of the number 0 is demonstrated in Figure 2(b) by lighting all the segments except segment g. Figure 2(c) shows segments a, b, c, d, and g lit to display the number 3. As you ll see in the development board specification, lighting a particular LED segment is a two-step process. You need to both turn on the individual LED segment, and also activate one or more of the four available 7-segment displays on the board. Both of these actuation steps involve sending a logical 1 or 0

3 from the FPGA to the proper signals connected the display device. Consult the development board specification for the connection and control details (and then ask a bunch of questions). (a) (b) (c) Figure 2: The ultra-amazing 7-segment display. Digital System Design with VHDL One of the basic tenets of digital design with VHDL is the concept of concurrency. As you assuredly know from your perusing of the VHDL tutorial listed on the CPE 169 website, there are four types of concurrent statements: 1) simple signal assignment, 2) conditional signal assignment, 3) selective signal assignment, and 4) process statement. Any given architecture in VHDL can contain as many concurrent statements as your heart desires. The functionality described in these statements occurs concurrently in the circuit that is synthesized from your VHDL code. The circuit you created in the previous experiment contained four inputs (A, B, C, and D) and two outputs (F1 and F2). You probably implemented these circuits using two concurrent signal assignment statements. This was a rather basic VHDL design in that every signal associated with the circuit was also listed in the entity description. In other words, each of the signals used in the circuit was either an input or an output of the circuit. This is all well and good for simple circuits, but simple circuits are rather dull and uninspiring. The final circuit in this experiment requires that you use signals that can t be labeled as either input or output : they re just signals, conveying information from one internal part of the circuit to another. The VHDL code shown in Figure 3(b) is an implementation of the circuit shown in Figure 3(a). Not that it s necessarily good VHDL programming practice or anything like that, but it does show something new and useful. Since the signals named and1 and and2 have no external linkage (meaning they do not appear in the entity declaration as inputs or outputs), they must be declared internally within the architecture. This is done before the begin statement and after the architecture opening line. Note that the word signal is a keyword in VHDL. Also note that the signal declarations appearing in the architecture do not contain mode specifiers (in or out) as do the signal names appearing in the entity declaration (but they do still have the type declaration of std_logic). entity my_ckt is port ( A,B,C : in std_logic; F : out std_logic); end my_ckt; architecture ckt1 of my_ckt is -- intermediate signal declaration signal and1,and2 : std_logic; begin and1 <= (NOT A) AND C; and2 <= A AND B; F <= and1 AND and2; (a) end ckt1; (b) Figure 3: A simple circuit (a) and its new-fangled VHDL implementation (b).

4 Procedures Procedure Overview: In this experiment, you ll design two modules which are later integrated into one complete circuit system. An outline of the procedure is listed below. 1) Design, test, and implement a BCD to 7-segment Decoder. 2) Design, test, and implement a Priority Encoder. 3) Integrate the BCD to 7-segment decoder, priority encoder, and 7-segment displays into a system that will display the channel number of the highest priority input that is active on the priority encoder. Procedure 1: Binary Coded Decimal (BCD) to 7-Segment Display Decoder 1. Design a basic BCD to 7-Segment Display Decoder using VHDL. This circuit has four inputs (B 3 B 2 B 1 B 0, the 4 bits of a Binary Coded Decimal digit) and seven outputs (controlling the seven individual display segments). Complete a Truth Table like the one below to describe your circuit s intended behavior, and then design and implement your decoder using VHDL and the Xilinx ISE software environment. Design this basic display decoder with 7-segment display output signals that provide the correct logic levels to control the particular type of display found on your development board (common-cathode: active high display signals; or common-anode: active low display signals). In theory, you could design this decoder by writing and reducing a Boolean logic expression describing each of the seven segment outputs in terms of the 4 input signals, as you did in last week s lab (after tackling seven minimizations using Boolean Algebra or seven 4-variable K-Maps to produce the minimum cost solution). But now, you can instead use the descriptive power of VHDL and any type of concurrent statement you deem appropriate to describe and implement the desired circuit behavior more efficiently. A clever mind will write the VHDL code in such a way as to allow the VHDL compiler to do most of the grunt work of realizing an efficient implementation (so try to have a clever mind). BCD Input Digit 7-Segment Display Signals B3 B2 B1 B0 A B C D E F G Table 1: BCD to 7-Segment Display truth table (if common-cathode display: 1 = segment lit )

5 2. Adapt your basic display decoder design to the multiple-digit display provided on your development board. You should show the output digit determined by your decoder on just one of the four 7-segment displays on the development board. None of the LED segments in any of the other 3 displays on the board should be lit. Also, the decimal point LEDs that are part of each digit display should always be turned off. To accommodate the particular display configuration available on the development board, your revised VHDL code will need to include additional output signals to turn on or turn off each of the four available 7-segment displays, using the control signals provided in the board design. As shown in the Nexys or Nexys-2 Board Reference Manuals, each digit display has all of the terminals on one side of its 7 LED segments connected together; and this common signal (common anode or common cathode) is connected to a driving transistor that is controlled by an output of the FPGA. The logic output level (0 or 1) needed to turn on a display will again depend on which side of the LED is being driven, the type of transistor used, and how the transistor is connected. If you are not familiar enough with analyzing transistor circuits to determine which logic output level to apply to these signals based on the schematic diagram given in the Reference Manual, then just make an assumption for now (Display ON = 0 or 1? Take your pick). If three displays are lit instead of one when you implement and test the design, then you know that you guessed wrong. (Such is the beauty of programmable logic design using VHDL. If you make a mistake, it is easy and fast to revise your design; and no hardware modifications are needed! ) You will also need to add yet another output to your basic display decoder design to turn off the decimal point (DP) on the displays. The LED for the decimal point is controlled exactly the same way as the 7 LED segments in the display. 3. Simulate your enhanced design using ISim and include an annotated output of this simulation in your lab report. 4. Implement your design on your development board. Use the four left-most switches on your development board to input a BCD number B 3 B 2 B 1 B 0, respectively (most significant digit to the left). Display the output digit corresponding to the BCD code input on any one of the 7-segment displays on the development board. Verify your circuit is working correctly and demonstrate your working circuit to your instructor. Be sure to include a diagram of your BCD to 7-segment display decoder implementation and its connections to the display and switches in your lab report. NOTE: If you make any modifications to your VHDL code to fix problems found in this final implementation and visual verification step, be sure to repeat the ISim simulation on your revised design, and include just these corrected results in your lab report (with proper annotation, of course). Procedure 2: The Priority Encoder A priority encoder is a specialized digital device. Like any other combinational logic circuit, it is still simply a collection of outputs that are functions of the present input signals. However, the exact input/output relationship is generally not as straightforward as most of the other digital devices you have encountered so far. This requires that you clearly understand the specifications of the device before you begin implementation. It also means that you will need more sophisticated VHDL statements than simple signal assignments to describe the behavior of the priority encoder. In the digital world, circuit components often require some type of attention or response from other circuit components. Since several components may need attention at the same time, and responding immediately to certain components may be more critical than to others, there needs to be a way of treating some component s requests for attention as more important than another s. This is the job of the priority encoder.

6 A priority encoder encodes (produces a binary number on its outputs for) the highest number input channel having an asserted signal at any given moment. For example, if signals on inputs I 2, I 3, and I 6 are all asserted at the same time (if they are all in the 1 state), the priority encoder encodes a 6 and outputs Y 2 Y 1 Y 0 will be 110 (the binary representation for 6 ). When no inputs are asserted, Y 2, Y 1, and Y 0 will collectively be 000. For this circuit, a STROBE output is also provided, which is asserted whenever at least one input is asserted, and is otherwise unasserted. The STROBE signal indicates to other devices when the number appearing on the priority encoder s output signals (Y 2 Y 1 Y 0 ) is valid. The STROBE also provides a means of distinguishing if 000 appearing on the priority encoder output is due to channel I 0 being asserted (with the STROBE also asserted), or because NONE of the inputs is asserted at the moment (STROBE unasserted). A black-box diagram of the priority encoder is shown in Figure Design an 8:3 priority encoder. HINT: A specialized, abbreviated sort of truth table is a good starting point for this design. (Be creative so you don t have to create the 256 line truth table that an 8-input device would normally need!) Consider what the critical input signals and levels are that should cause each different output signal combination, and how to indicate that the other inputs are non-critical. The 3-bit output is the binary representation of the highest numbered input that is asserted. The STROBE output on your encoder should be asserted when one or more inputs are asserted. Include the special truth table you created for your priority encoder design in your report. Figure 4: Lo and behold, the awesome priority encoder. 2. In the same ISE project that you created for your BCD-to-7-segment Display Decoder, add a new VHDL module to the project and enter the VHDL description for your priority encoder design. Note that since you now have two different VHDL sources in the same project, you must indicate to the ISE tools which one you will be compiling and implementing. You do this by designating one of the VHDL modules as the Top Module. a. Add your new VHDL Module as a New Source to the project b. Right-click on your new VHDL Module in the Hierarchy window. c. Select Set as Top Module from the options that appear. 3. Simulate your priority encoder using ISim. For your simulation, you will need to develop a new approach to verifying that this device functions properly, as exhaustively testing it with every possible combination of values for the eight input signals is not practical (at least not if you are going to finish this lab any time soon). Therefore, try to determine an efficient set of test combinations that will thoroughly verify the operation of all functions and outputs of this device. Include an annotated output of your simulation in your lab report; including some notes to explain the methodology that you applied and to interpret the results you obtained. a. When you create the new test waveform source for the Priority Encoder, make sure that you associate it with the correct VHDL module. 4. Implement your circuit on the development board. Connect outputs Y2, Y1, and Y0 to the rightmost LEDs on the development board; connect the STROBE output to the left-most. Use the eight

7 switches for the encoder inputs, and consider the left-most switch to have the highest priority. The switch with the highest priority should be connected to the highest priority input of the encoder. Note that the ISE tools will only permit you to have one pin assignment user constraints file associated with a particular project; even if you have multiple VHDL modules in the project. Therefore, before adding the new user constraints file for the Priority Encoder to the project, you need to first remove the constraints file created in Procedure 1. a. Right-click on your old constraints (.ucf) file in the Hierarchy window (View: Implementation) b. Select Remove from the options that appear. This only disconnects the file from the project. The file itself remains in the project folder, and can be added back into the project ever if needed. c. Then, with the top module selected in the Hierarchy window, perform your new IO Pin Planning with Plan Ahead (Pre-Synthesis). Allow the tools to create a new User Constraints File associated with the top module for this step. 5. Demonstrate your working circuit to your lab instructor. Procedure 3: Component Integration You ve now designed two standard digital modules using VHDL. In this procedure, you integrate those modules into a single circuit that is used to visually verify the proper functioning of the circuit. 1. Design an integrated digital system that displays the output of the priority encoder on one of the four 7-segment displays using the BCD to 7-segment decoder to interpret the priority encoder output. The relation between the BCD to 7-segment decoder and the priority encoder is similar (but not identical) to that shown in Figure 1. As in the previous procedure, use the 8 switches on the development board for the inputs of the priority encoder, with the left-most switch having the highest priority. The STROBE signal should again be connected to the left-most LED on the development board, unless you can think of another clever use for it to improve how your system functions. Unused circuit inputs should be grounded (assigned a 0 value). To aid in your design, first draw a black-box diagram for your logic circuit, showing the inputs / outputs for the combined digital logic system to be described in your new VHDL code module. Also draw a block diagram for your complete system design, showing the priority encoder and display decoder modules as separate blocks; and showing all inputs, outputs (including the 7-segment display), and intermediate signals between modules. 2. Create the integrated VHDL description for this combined design in a single, new source code module. In the same ISE project that you designed the two other circuits, start a new circuit source module and combine all of the concurrent statements used in the previous designs of the two modules. You ll need to redefine the inputs and outputs of this combined design according to your black-box diagram, and use intermediate signals in order to complete this integration. HINT: Do not make major changes to the VHDL code for the individual modules from Procedure 1 and Procedure 2. These are now fully verified, proven, working designs. Most of your work in Procedure 3 should involve stitching together the BCD to 7-Segment Decoder and the Priority Encoder with as little change as possible (or better yet no changes) to the original code for each device. (Why risk breaking a design that you are sure works fine already?!) 3. Demonstrate your working circuit to the lab instructor. (No ModelSim simulation results are required for the integrated system.) 4. Be sure to save a copy of your Xilinx design files for future use. You will be using these devices again in several future labs ( design reuse!).

8 Final Notes Be sure to include all of the VHDL code you wrote during this experiment in your lab report. And as with all circuits you design and/or test, include schematic or block diagrams for all circuits implemented. Be sure to show the details of how you interconnected your two devices and handled all of their inputs and outputs in the diagram for Procedure 3. Your diagrams should match your implementations in the VHDL code, including using the same signal names in both. Your lab report should also include an output from each of the Isim simulations you performed. Again, be sure to save the VHDL code you wrote in this experiment for later experiments. Questions 1. Fully describe the operation of the circuit designed in Procedure 3. Your explanation should cover how the inputs to the circuit generate a given output. Your explanation should also include comments regarding the integration of the two circuits using VHDL. 2. In this experiment, you implemented two separate circuits and later integrated them into one system. Discuss the possibility and consequences of skipping Procedures 1 & 2 and implementing the circuit described in Procedure 3 directly. 3. The antiquated CPE segment decoder lab (the one that was formerly used by all students) forced students to implement the decoder using a set of seven K-maps and subsequently seven simple concurrent signal assignment statements. Compare and contrast the approach taken in the older lab and the approach taken in this lab; list pros and cons of each approach. 4. In Procedure 3, why was a 0 (as opposed to a 1 ) assigned to the most significant bit of the BCD value? 5. In the context of an alarm system, what could be the function of the STROBE signal if it operates as it was implemented in this experiment? Fully explain your answer. 6. The VHDL code shown in Figure 3 of this experiment used two concurrent statements and two intermediate signals. A similar circuit from Experiment 5 was modeled in VHDL using just one concurrent statement. Is there an advantage to one approach over the other? Would you think that this level of differences would change the appearance of the final circuit created by the VHDL synthesis tool? Fully explain your answer.

ENGG2410: Digital Design Lab 5: Modular Designs and Hierarchy Using VHDL

ENGG2410: Digital Design Lab 5: Modular Designs and Hierarchy Using VHDL ENGG2410: Digital Design Lab 5: Modular Designs and Hierarchy Using VHDL School of Engineering, University of Guelph Fall 2017 1 Objectives: Start Date: Week #7 2017 Report Due Date: Week #8 2017, in the

More information

CPE 329: Programmable Logic and Microprocessor-Based System Design

CPE 329: Programmable Logic and Microprocessor-Based System Design COMPUTER ENGINEERING PROGRAM California Polytechnic State University CPE 329: Programmable Logic and Microprocessor-Based System Design Laboratory 1 Revised 4/7/08 Digital Clock Design Using Programmable

More information

DALHOUSIE UNIVERSITY Department of Electrical & Computer Engineering Digital Circuits - ECED 2200

DALHOUSIE UNIVERSITY Department of Electrical & Computer Engineering Digital Circuits - ECED 2200 DALHOUSIE UNIVERSITY Department of Electrical & Computer Engineering Digital Circuits - ECED 2200 Tutorial 1. Xilinx Integrated Software Environment (ISE) Tools Objectives: 1. Familiarize yourself with

More information

Main Design Project. The Counter. Introduction. Macros. Procedure

Main Design Project. The Counter. Introduction. Macros. Procedure Main Design Project Introduction In order to gain some experience with using macros we will exploit some of the features of our boards to construct a counter that will count from 0 to 59 with the counts

More information

Spartan-II Development System

Spartan-II Development System 2002-May-4 Introduction Dünner Kirchweg 77 32257 Bünde Germany www.trenz-electronic.de The Spartan-II Development System is designed to provide a simple yet powerful platform for FPGA development, which

More information

Microprocessor Design

Microprocessor Design Microprocessor Design Principles and Practices With VHDL Enoch O. Hwang Brooks / Cole 2004 To my wife and children Windy, Jonathan and Michelle Contents 1. Designing a Microprocessor... 2 1.1 Overview

More information

Laboratory 1 - Introduction to Digital Electronics and Lab Equipment (Logic Analyzers, Digital Oscilloscope, and FPGA-based Labkit)

Laboratory 1 - Introduction to Digital Electronics and Lab Equipment (Logic Analyzers, Digital Oscilloscope, and FPGA-based Labkit) Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science 6. - Introductory Digital Systems Laboratory (Spring 006) Laboratory - Introduction to Digital Electronics

More information

ELEC 204 Digital System Design LABORATORY MANUAL

ELEC 204 Digital System Design LABORATORY MANUAL Elec 24: Digital System Design Laboratory ELEC 24 Digital System Design LABORATORY MANUAL : 4-bit hexadecimal Decoder & 4-bit Increment by N Circuit College of Engineering Koç University Important Note:

More information

California State University, Bakersfield Computer & Electrical Engineering & Computer Science ECE 3220: Digital Design with VHDL Laboratory 7

California State University, Bakersfield Computer & Electrical Engineering & Computer Science ECE 3220: Digital Design with VHDL Laboratory 7 California State University, Bakersfield Computer & Electrical Engineering & Computer Science ECE 322: Digital Design with VHDL Laboratory 7 Rational: The purpose of this lab is to become familiar in using

More information

HDL & High Level Synthesize (EEET 2035) Laboratory II Sequential Circuits with VHDL: DFF, Counter, TFF and Timer

HDL & High Level Synthesize (EEET 2035) Laboratory II Sequential Circuits with VHDL: DFF, Counter, TFF and Timer 1 P a g e HDL & High Level Synthesize (EEET 2035) Laboratory II Sequential Circuits with VHDL: DFF, Counter, TFF and Timer Objectives: Develop the behavioural style VHDL code for D-Flip Flop using gated,

More information

Design of a Binary Number Lock (using schematic entry method) 1. Synopsis: 2. Description of the Circuit:

Design of a Binary Number Lock (using schematic entry method) 1. Synopsis: 2. Description of the Circuit: Design of a Binary Number Lock (using schematic entry method) 1. Synopsis: This lab gives you more exercise in schematic entry, state machine design using the one-hot state method, further understanding

More information

Laboratory Exercise 7

Laboratory Exercise 7 Laboratory Exercise 7 Finite State Machines This is an exercise in using finite state machines. Part I We wish to implement a finite state machine (FSM) that recognizes two specific sequences of applied

More information

Lab 4: Hex Calculator

Lab 4: Hex Calculator CpE 487 Digital Design Lab Lab 4: Hex Calculator 1. Introduction In this lab, we will program the FPGA on the Nexys2 board to function as a simple hexadecimal calculator capable of adding and subtracting

More information

Lab #11: Register Files

Lab #11: Register Files Lab #11: Register Files ECE/COE 0501 Date of Experiment: 3/20/2017 Report Written: 3/22/2017 Submission Date: 3/27/2017 Nicholas Haver nicholas.haver@pitt.edu 1 H a v e r PURPOSE The purpose of this lab

More information

EEM Digital Systems II

EEM Digital Systems II ANADOLU UNIVERSITY DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING EEM 334 - Digital Systems II LAB 3 FPGA HARDWARE IMPLEMENTATION Purpose In the first experiment, four bit adder design was prepared

More information

Main Design Project. The Counter. Introduction. Macros. Procedure

Main Design Project. The Counter. Introduction. Macros. Procedure Main Design Project Introduction In order to gain some experience with using macros we will exploit some of the features of our boards to construct a counter that will count from 0 to 59 with the counts

More information

ET398 LAB 4. Concurrent Statements, Selection and Process

ET398 LAB 4. Concurrent Statements, Selection and Process ET398 LAB 4 Concurrent Statements, Selection and Process Decoders/Multiplexers February 16, 2013 Tiffany Turner OBJECTIVE The objectives of this lab were for us to become more adept at creating VHDL code

More information

Altera s Max+plus II Tutorial

Altera s Max+plus II Tutorial Altera s Max+plus II Tutorial Written by Kris Schindler To accompany Digital Principles and Design (by Donald D. Givone) 8/30/02 1 About Max+plus II Altera s Max+plus II is a powerful simulation package

More information

LAB #6 State Machine, Decoder, Buffer/Driver and Seven Segment Display

LAB #6 State Machine, Decoder, Buffer/Driver and Seven Segment Display LAB #6 State Machine, Decoder, Buffer/Driver and Seven Segment Display LAB OBJECTIVES 1. Design a more complex state machine 2. Design a larger combination logic solution on a PLD 3. Integrate two designs

More information

1. Synopsis: 2. Description of the Circuit:

1. Synopsis: 2. Description of the Circuit: Design of a Binary Number Lock (using schematic entry method) 1. Synopsis: This lab gives you more exercise in schematic entry, state machine design using the one-hot state method, further understanding

More information

LAB 3 Verilog for Combinatorial Circuits

LAB 3 Verilog for Combinatorial Circuits Goals LAB 3 Verilog for Combinatorial Circuits Learn how to design combinatorial circuits using Verilog. Design a simple circuit that takes a 4-bit binary number and drives the 7-segment display so that

More information

CSE221- Logic Design, Spring 2003

CSE221- Logic Design, Spring 2003 EE207: Digital Systems I, Semester I 2003/2004 CHAPTER 3 -ii: Combinational Logic Design Design Procedure, Encoders/Decoders (Sections 3.4 3.6) Overview Design Procedure Code Converters Binary Decoders

More information

CSCB58 - Lab 4. Prelab /3 Part I (in-lab) /1 Part II (in-lab) /1 Part III (in-lab) /2 TOTAL /8

CSCB58 - Lab 4. Prelab /3 Part I (in-lab) /1 Part II (in-lab) /1 Part III (in-lab) /2 TOTAL /8 CSCB58 - Lab 4 Clocks and Counters Learning Objectives The purpose of this lab is to learn how to create counters and to be able to control when operations occur when the actual clock rate is much faster.

More information

Digital Electronic Circuits Design & Laboratory Guideline

Digital Electronic Circuits Design & Laboratory Guideline D.2. Encoders Often we use diverse peripheral devices such as switches, numeric keypads and more in order to interface the analog world with the digital one and, along with the usage of these devices,

More information

Lab 2: Hardware/Software Co-design with the Wimp51

Lab 2: Hardware/Software Co-design with the Wimp51 Lab 2: Hardware/Software Co-design with the Wimp51 CpE 214: Digital Engineering Lab II Last revised: February 26, 2013 (CAC) Hardware software co-design, now standard in industry, is an approach that brings

More information

MODULAR DIGITAL ELECTRONICS TRAINING SYSTEM

MODULAR DIGITAL ELECTRONICS TRAINING SYSTEM MODULAR DIGITAL ELECTRONICS TRAINING SYSTEM MDETS UCTECH's Modular Digital Electronics Training System is a modular course covering the fundamentals, concepts, theory and applications of digital electronics.

More information

Programmable Logic Design I

Programmable Logic Design I Programmable Logic Design I Introduction In labs 11 and 12 you built simple logic circuits on breadboards using TTL logic circuits on 7400 series chips. This process is simple and easy for small circuits.

More information

EECS 140 Laboratory Exercise 7 PLD Programming

EECS 140 Laboratory Exercise 7 PLD Programming 1. Objectives EECS 140 Laboratory Exercise 7 PLD Programming A. Become familiar with the capabilities of Programmable Logic Devices (PLDs) B. Implement a simple combinational logic circuit using a PLD.

More information

LAB 3 Verilog for Combinational Circuits

LAB 3 Verilog for Combinational Circuits Goals To Do LAB 3 Verilog for Combinational Circuits Learn how to implement combinational circuits using Verilog. Design and implement a simple circuit that controls the 7-segment display to show a 4-bit

More information

Experiment (6) 2- to 4 Decoder. Figure 8.1 Block Diagram of 2-to-4 Decoder 0 X X

Experiment (6) 2- to 4 Decoder. Figure 8.1 Block Diagram of 2-to-4 Decoder 0 X X 8. Objectives : Experiment (6) Decoders / Encoders To study the basic operation and design of both decoder and encoder circuits. To describe the concept of active low and active-high logic signals. To

More information

Lab #6: Combinational Circuits Design

Lab #6: Combinational Circuits Design Lab #6: Combinational Circuits Design PURPOSE: The purpose of this laboratory assignment is to investigate the design of combinational circuits using SSI circuits. The combinational circuits being implemented

More information

Lab 3: VGA Bouncing Ball I

Lab 3: VGA Bouncing Ball I CpE 487 Digital Design Lab Lab 3: VGA Bouncing Ball I 1. Introduction In this lab, we will program the FPGA on the Nexys2 board to display a bouncing ball on a 640 x 480 VGA monitor connected to the VGA

More information

Lab #10 Hexadecimal-to-Seven-Segment Decoder, 4-bit Adder-Subtractor and Shift Register. Fall 2017

Lab #10 Hexadecimal-to-Seven-Segment Decoder, 4-bit Adder-Subtractor and Shift Register. Fall 2017 University of Texas at El Paso Electrical and Computer Engineering Department EE 2169 Laboratory for Digital Systems Design I Lab #10 Hexadecimal-to-Seven-Segment Decoder, 4-bit Adder-Subtractor and Shift

More information

ECE 270 Lab Verification / Evaluation Form. Experiment 7

ECE 270 Lab Verification / Evaluation Form. Experiment 7 ECE 270 Lab Verification / Evaluation Form Experiment 7 Evaluation: MPORTANT! You must complete this experiment during your scheduled lab period. All work for this experiment must be demonstrated to and

More information

Traffic Light Controller

Traffic Light Controller Traffic Light Controller Four Way Intersection Traffic Light System Fall-2017 James Todd, Thierno Barry, Andrew Tamer, Gurashish Grewal Electrical and Computer Engineering Department School of Engineering

More information

Laboratory Exercise 7

Laboratory Exercise 7 Laboratory Exercise 7 Finite State Machines This is an exercise in using finite state machines. Part I We wish to implement a finite state machine (FSM) that recognizes two specific sequences of applied

More information

IMPLEMENTATION OF A BINARY SELECTION SYSTEM CREATED IN XILINX USING FPGA

IMPLEMENTATION OF A BINARY SELECTION SYSTEM CREATED IN XILINX USING FPGA ANNALS of Faculty Engineering Hunedoara International Journal of Engineering Tome XV [2017] Fascicule 3 [August] ISSN: 1584-2665 [print; online] ISSN: 1584-2673 [CD-Rom; online] a free-access multidisciplinary

More information

Lab 17: Building a 4-Digit 7-Segment LED Decoder

Lab 17: Building a 4-Digit 7-Segment LED Decoder Phys2303 L.A. Bumm [Basys3 1.2.1] Lab 17 (p1) Lab 17: Building a 4-Digit 7-Segment LED Decoder In this lab you will make 5 test circuits in addition to the 4-digit 7-segment decoder. The test circuits

More information

Figure 1 Block diagram of a 4-bit binary counter

Figure 1 Block diagram of a 4-bit binary counter Lab 3: Four-Bit Binary Counter EE-459/500 HDL Based Digital Design with Programmable Logic Electrical Engineering Department, University at Buffalo Last update: Cristinel Ababei, August 2012 1. Objective

More information

4:1 Mux Symbol 4:1 Mux Circuit

4:1 Mux Symbol 4:1 Mux Circuit Exercise 6: Combinational Circuit Blocks Revision: October 20, 2009 215 E Main Suite D Pullman, WA 99163 (509) 334 6306 Voice and Fax STUDT I am submitting my own work, and I understand penalties will

More information

Laboratory 11. Required Components: Objectives. Introduction. Digital Displays and Logic (modified from lab text by Alciatore)

Laboratory 11. Required Components: Objectives. Introduction. Digital Displays and Logic (modified from lab text by Alciatore) Laboratory 11 Digital Displays and Logic (modified from lab text by Alciatore) Required Components: 2x lk resistors 1x 10M resistor 3x 0.1 F capacitor 1x 555 timer 1x 7490 decade counter 1x 7447 BCD to

More information

FPGA Laboratory Assignment 4. Due Date: 06/11/2012

FPGA Laboratory Assignment 4. Due Date: 06/11/2012 FPGA Laboratory Assignment 4 Due Date: 06/11/2012 Aim The purpose of this lab is to help you understanding the fundamentals of designing and testing memory-based processing systems. In this lab, you will

More information

NORTHWESTERN UNIVERSITY TECHNOLOGICAL INSTITUTE

NORTHWESTERN UNIVERSITY TECHNOLOGICAL INSTITUTE NORTHWESTERN UNIVERSITY TECHNOLOGICL INSTITUTE ECE 270 Experiment #8 DIGITL CIRCUITS Prelab 1. Draw the truth table for the S-R Flip-Flop as shown in the textbook. Draw the truth table for Figure 7. 2.

More information

Debugging of VHDL Hardware Designs on Altera s DE2 Boards

Debugging of VHDL Hardware Designs on Altera s DE2 Boards Debugging of VHDL Hardware Designs on Altera s DE2 Boards This tutorial presents some basic debugging concepts that can be helpful in creating VHDL designs for implementation on Altera s DE2 boards. It

More information

CPE 200L LABORATORY 3: SEQUENTIAL LOGIC CIRCUITS UNIVERSITY OF NEVADA, LAS VEGAS GOALS: BACKGROUND: SR FLIP-FLOP/LATCH

CPE 200L LABORATORY 3: SEQUENTIAL LOGIC CIRCUITS UNIVERSITY OF NEVADA, LAS VEGAS GOALS: BACKGROUND: SR FLIP-FLOP/LATCH CPE 200L LABORATORY 3: SEUENTIAL LOGIC CIRCUITS DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING UNIVERSITY OF NEVADA, LAS VEGAS GOALS: Learn to use Function Generator and Oscilloscope on the breadboard.

More information

Level and edge-sensitive behaviour

Level and edge-sensitive behaviour Level and edge-sensitive behaviour Asynchronous set/reset is level-sensitive Include set/reset in sensitivity list Put level-sensitive behaviour first: process (clock, reset) is begin if reset = '0' then

More information

Electrical and Telecommunications Engineering Technology_TCET3122/TC520. NEW YORK CITY COLLEGE OF TECHNOLOGY The City University of New York

Electrical and Telecommunications Engineering Technology_TCET3122/TC520. NEW YORK CITY COLLEGE OF TECHNOLOGY The City University of New York NEW YORK CITY COLLEGE OF TECHNOLOGY The City University of New York DEPARTMENT: SUBJECT CODE AND TITLE: COURSE DESCRIPTION: REQUIRED: Electrical and Telecommunications Engineering Technology TCET 3122/TC

More information

ECE 270 Lab Verification / Evaluation Form. Experiment 9

ECE 270 Lab Verification / Evaluation Form. Experiment 9 ECE 270 Lab Verification / Evaluation Form Experiment 9 Evaluation: IMPORTANT! You must complete this experiment during your scheduled lab period. All work for this experiment must be demonstrated to and

More information

Rensselaer Polytechnic Institute Computer Hardware Design ECSE Report. Lab Three Xilinx Richards Controller and Logic Analyzer Laboratory

Rensselaer Polytechnic Institute Computer Hardware Design ECSE Report. Lab Three Xilinx Richards Controller and Logic Analyzer Laboratory RPI Rensselaer Polytechnic Institute Computer Hardware Design ECSE 4770 Report Lab Three Xilinx Richards Controller and Logic Analyzer Laboratory Name: Walter Dearing Group: Brad Stephenson David Bang

More information

VHDL Design and Implementation of FPGA Based Logic Analyzer: Work in Progress

VHDL Design and Implementation of FPGA Based Logic Analyzer: Work in Progress VHDL Design and Implementation of FPGA Based Logic Analyzer: Work in Progress Nor Zaidi Haron Ayer Keroh +606-5552086 zaidi@utem.edu.my Masrullizam Mat Ibrahim Ayer Keroh +606-5552081 masrullizam@utem.edu.my

More information

COE328 Course Outline. Fall 2007

COE328 Course Outline. Fall 2007 COE28 Course Outline Fall 2007 1 Objectives This course covers the basics of digital logic circuits and design. Through the basic understanding of Boolean algebra and number systems it introduces the student

More information

FPGA-BASED EDUCATIONAL LAB PLATFORM

FPGA-BASED EDUCATIONAL LAB PLATFORM FPGA-BASED EDUCATIONAL LAB PLATFORM Mircea Alexandru DABÂCAN, Clint COLE Mircea Dabâcan is with Technical University of Cluj-Napoca, Electronics and Telecommunications Faculty, Applied Electronics Department,

More information

Design and implementation (in VHDL) of a VGA Display and Light Sensor to run on the Nexys4DDR board Report and Signoff due Week 6 (October 4)

Design and implementation (in VHDL) of a VGA Display and Light Sensor to run on the Nexys4DDR board Report and Signoff due Week 6 (October 4) ECE 574: Modeling and synthesis of digital systems using Verilog and VHDL Fall Semester 2017 Design and implementation (in VHDL) of a VGA Display and Light Sensor to run on the Nexys4DDR board Report and

More information

Tutorial 11 ChipscopePro, ISE 10.1 and Xilinx Simulator on the Digilent Spartan-3E board

Tutorial 11 ChipscopePro, ISE 10.1 and Xilinx Simulator on the Digilent Spartan-3E board Tutorial 11 ChipscopePro, ISE 10.1 and Xilinx Simulator on the Digilent Spartan-3E board Introduction This lab will be an introduction on how to use ChipScope for the verification of the designs done on

More information

ECE Lab 5. MSI Circuits - Four-Bit Adder/Subtractor with Decimal Output

ECE Lab 5. MSI Circuits - Four-Bit Adder/Subtractor with Decimal Output ECE 201 - Lab 5 MSI Circuits - Four-Bit Adder/Subtractor with Decimal Output PURPOSE To familiarize students with Medium Scale Integration (MSI) technology, specifically adders. The student should also

More information

Lab #12: 4-Bit Arithmetic Logic Unit (ALU)

Lab #12: 4-Bit Arithmetic Logic Unit (ALU) Lab #12: 4-Bit Arithmetic Logic Unit (ALU) ECE/COE 0501 Date of Experiment: 4/3/2017 Report Written: 4/5/2017 Submission Date: 4/10/2017 Nicholas Haver nicholas.haver@pitt.edu 1 H a v e r PURPOSE The purpose

More information

Modeling Latches and Flip-flops

Modeling Latches and Flip-flops Lab Workbook Introduction Sequential circuits are digital circuits in which the output depends not only on the present input (like combinatorial circuits), but also on the past sequence of inputs. In effect,

More information

Vending Machine. Keywords FSM, Vending Machine, FPGA, VHDL

Vending Machine. Keywords FSM, Vending Machine, FPGA, VHDL Vending Machine Khodur Dbouk, Basil Jajou, Kouder Abbas, Stevan Nissan Electrical and Computer Engineering Department School of Engineering and Computer Science Oakland University, Rochester, MI kdbouk@oakland.edu,

More information

Computer Architecture and Organization

Computer Architecture and Organization A-1 Appendix A - Digital Logic Computer Architecture and Organization Miles Murdocca and Vincent Heuring Appendix A Digital Logic A-2 Appendix A - Digital Logic Chapter Contents A.1 Introduction A.2 Combinational

More information

Individual Project Report

Individual Project Report EN 3542: Digital Systems Design Individual Project Report Pseudo Random Number Generator using Linear Feedback shift registers Index No: Name: 110445D I.W.A.S.U. Premaratne 1. Problem: Random numbers are

More information

Bell. Program of Study. Accelerated Digital Electronics. Dave Bell TJHSST

Bell. Program of Study. Accelerated Digital Electronics. Dave Bell TJHSST Program of Study Accelerated Digital Electronics TJHSST Dave Bell Course Selection Guide Description: Students learn the basics of digital electronics technology as they engineer a complex electronic system.

More information

Experiment: FPGA Design with Verilog (Part 4)

Experiment: FPGA Design with Verilog (Part 4) Department of Electrical & Electronic Engineering 2 nd Year Laboratory Experiment: FPGA Design with Verilog (Part 4) 1.0 Putting everything together PART 4 Real-time Audio Signal Processing In this part

More information

Debugging of Verilog Hardware Designs on Altera s DE-Series Boards. 1 Introduction. For Quartus Prime 15.1

Debugging of Verilog Hardware Designs on Altera s DE-Series Boards. 1 Introduction. For Quartus Prime 15.1 Debugging of Verilog Hardware Designs on Altera s DE-Series Boards For Quartus Prime 15.1 1 Introduction This tutorial presents some basic debugging concepts that can be helpful in creating Verilog designs

More information

Lab 5 FPGA Design Flow Based on Aldec Active-HDL. Fast Reflex Game.

Lab 5 FPGA Design Flow Based on Aldec Active-HDL. Fast Reflex Game. Lab 5 FPGA Design Flow Based on Aldec Active-HDL. Fast Reflex Game. Task 0 (tested during lab demonstration) Get familiar with the Tutorial on FPGA Design Flow based on Aldec Active-HDL. Be ready to perform

More information

Lab #13: FPGA Circuit Realization

Lab #13: FPGA Circuit Realization Lab #13: FPGA Circuit Realization ECE/COE 0501 Date of Experiment: 4/12/2017 Report Written: 4/17/2017 Submission Date: 4/19/2017 Nicholas Haver nicholas.haver@pitt.edu 1 H a v e r PURPOSE In this lab,

More information

COE758 Xilinx ISE 9.2 Tutorial 2. Integrating ChipScope Pro into a project

COE758 Xilinx ISE 9.2 Tutorial 2. Integrating ChipScope Pro into a project COE758 Xilinx ISE 9.2 Tutorial 2 ChipScope Overview Integrating ChipScope Pro into a project Conventional Signal Sampling Xilinx Spartan 3E FPGA JTAG 2 ChipScope Pro Signal Sampling Xilinx Spartan 3E FPGA

More information

SOC Implementation for Christmas Lighting with Pattern Display Indication RAMANDEEP SINGH 1, AKANKSHA SHARMA 2, ANKUR AGGARWAL 3, ANKIT SATIJA 4 1

SOC Implementation for Christmas Lighting with Pattern Display Indication RAMANDEEP SINGH 1, AKANKSHA SHARMA 2, ANKUR AGGARWAL 3, ANKIT SATIJA 4 1 1016 SOC Implementation for Christmas Lighting with Pattern Display Indication RAMANDEEP SINGH 1, AKANKSHA SHARMA 2, ANKUR AGGARWAL 3, ANKIT SATIJA 4 1 Assistant Professor, Department of EECE, ITM University,

More information

Contents Circuits... 1

Contents Circuits... 1 Contents Circuits... 1 Categories of Circuits... 1 Description of the operations of circuits... 2 Classification of Combinational Logic... 2 1. Adder... 3 2. Decoder:... 3 Memory Address Decoder... 5 Encoder...

More information

EE 210. LOGIC DESIGN LAB.

EE 210. LOGIC DESIGN LAB. College of Engineering Electrical Engineering Department EE 210. LOGIC DESIGN LAB. (1 st semester 1426-27) Dr. Messaoud Boukezzata Office: EE 11 Phone: 063 8000 50 Ext 3152 1 College of Engineering Electrical

More information

AN ADVERTICING MODUL, DEVELOPED ON THE BASE OF A CPLD PROGRAMABLE DEVICE

AN ADVERTICING MODUL, DEVELOPED ON THE BASE OF A CPLD PROGRAMABLE DEVICE AN ADVERTICING MODUL, DEVELOPED ON THE BASE OF A CPLD PROGRAMABLE DEVICE Ivan Simeonov Simeonov Computer System and Technologies TU Gabrovo, 4 Hadji Dimitar St., (5300) Gabrovo, Bulgaria, Tel. +359 66

More information

Quad 7-segment display board

Quad 7-segment display board Quad 7-segment display board www.matrixtsl.com EB008 Contents About this document 3 Board layout 3 General information 4 Circuit description 4 Protective cover 5 Circuit diagram 6 2 Copyright About this

More information

Ryerson University Department of Electrical and Computer Engineering EES508 Digital Systems

Ryerson University Department of Electrical and Computer Engineering EES508 Digital Systems 1 P a g e Ryerson University Department of Electrical and Computer Engineering EES508 Digital Systems Lab 5 - VHDL for Sequential Circuits: Implementing a customized State Machine 15 Marks ( 2 weeks) Due

More information

Lab #5: Design Example: Keypad Scanner and Encoder - Part 1 (120 pts)

Lab #5: Design Example: Keypad Scanner and Encoder - Part 1 (120 pts) Nate Pihlstrom, npihlstr@uccs.edu Lab #5: Design Example: Keypad Scanner and Encoder - Part 1 (120 pts) Objective The objective of lab assignments 5 through 9 are to systematically design and implement

More information

Combinational / Sequential Logic

Combinational / Sequential Logic Digital Circuit Design and Language Combinational / Sequential Logic Chang, Ik Joon Kyunghee University Combinational Logic + The outputs are determined by the present inputs + Consist of input/output

More information

Ryerson University Department of Electrical and Computer Engineering COE/BME 328 Digital Systems

Ryerson University Department of Electrical and Computer Engineering COE/BME 328 Digital Systems 1 P a g e Ryerson University Department of Electrical and Computer Engineering COE/BME 328 Digital Systems Lab 6 35 Marks (3 weeks) Design of a Simple General-Purpose Processor Due Date: Week 12 Objective:

More information

Task 4_B. Decoder for DCF-77 Radio Clock Receiver

Task 4_B. Decoder for DCF-77 Radio Clock Receiver Embedded Processor Lab (EIT-EMS-546-L-4) Task 4_B FB Elektrotechnik und Informationstechnik Prof. Dr.-Ing. Norbert Wehn Dozent: Uwe Wasenmüller Raum 12-213, wa@eit.uni-kl.de Task 4_B Decoder for DCF-77

More information

Digilent Nexys-3 Cellular RAM Controller Reference Design Overview

Digilent Nexys-3 Cellular RAM Controller Reference Design Overview Digilent Nexys-3 Cellular RAM Controller Reference Design Overview General Overview This document describes a reference design of the Cellular RAM (or PSRAM Pseudo Static RAM) controller for the Digilent

More information

Digital Electronics II 2016 Imperial College London Page 1 of 8

Digital Electronics II 2016 Imperial College London Page 1 of 8 Information for Candidates: The following notation is used in this paper: 1. Unless explicitly indicated otherwise, digital circuits are drawn with their inputs on the left and their outputs on the right.

More information

Synchronous Sequential Logic

Synchronous Sequential Logic Synchronous Sequential Logic Ranga Rodrigo August 2, 2009 1 Behavioral Modeling Behavioral modeling represents digital circuits at a functional and algorithmic level. It is used mostly to describe sequential

More information

OF AN ADVANCED LUT METHODOLOGY BASED FIR FILTER DESIGN PROCESS

OF AN ADVANCED LUT METHODOLOGY BASED FIR FILTER DESIGN PROCESS IMPLEMENTATION OF AN ADVANCED LUT METHODOLOGY BASED FIR FILTER DESIGN PROCESS 1 G. Sowmya Bala 2 A. Rama Krishna 1 PG student, Dept. of ECM. K.L.University, Vaddeswaram, A.P, India, 2 Assistant Professor,

More information

NAND/NOR Implementation of Logic Functions

NAND/NOR Implementation of Logic Functions NAND/NOR Implementation of Logic Functions By: Dr. A. D. Johnson Lab Assignment #6 EECS: 1100 Digital Logic Design The University of Toledo 1. Objectives - implementing logic functions expressed in nonstandard

More information

Principles of Computer Architecture. Appendix A: Digital Logic

Principles of Computer Architecture. Appendix A: Digital Logic A-1 Appendix A - Digital Logic Principles of Computer Architecture Miles Murdocca and Vincent Heuring Appendix A: Digital Logic A-2 Appendix A - Digital Logic Chapter Contents A.1 Introduction A.2 Combinational

More information

Computer Systems Architecture

Computer Systems Architecture Computer Systems Architecture Fundamentals Of Digital Logic 1 Our Goal Understand Fundamentals and basics Concepts How computers work at the lowest level Avoid whenever possible Complexity Implementation

More information

Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science Introductory Digital Systems Laboratory

Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science Introductory Digital Systems Laboratory Problem Set Issued: March 3, 2006 Problem Set Due: March 15, 2006 Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science 6.111 Introductory Digital Systems Laboratory

More information

Chapter 9 MSI Logic Circuits

Chapter 9 MSI Logic Circuits Chapter 9 MSI Logic Circuits Chapter 9 Objectives Selected areas covered in this chapter: Analyzing/using decoders & encoders in circuits. Advantages and disadvantages of LEDs and LCDs. Observation/analysis

More information

The Nexys 4 Number Cruncher. Electrical and Computer Engineering Department

The Nexys 4 Number Cruncher. Electrical and Computer Engineering Department The Nexys 4 Number Cruncher Bassam Jarbo, Donald Burns, Klajdi Lumani, Michael Elias Electrical and Computer Engineering Department School of Engineering and Computer Science Oakland University, Rochester

More information

Radar Signal Processing Final Report Spring Semester 2017

Radar Signal Processing Final Report Spring Semester 2017 Radar Signal Processing Final Report Spring Semester 2017 Full report report by Brian Larson Other team members, Grad Students: Mohit Kumar, Shashank Joshil Department of Electrical and Computer Engineering

More information

Lab 13: FPGA Circuit Realization Ian Callahan

Lab 13: FPGA Circuit Realization Ian Callahan Callahan 1 Lab 13: FPGA Circuit Realization Ian Callahan (ipc8@pitt.edu) Purpose The goal of this lab was to implement the circuit description from Lab 12 and implement it on a Field Programmable Gate

More information

Inside Digital Design Accompany Lab Manual

Inside Digital Design Accompany Lab Manual 1 Inside Digital Design, Accompany Lab Manual Inside Digital Design Accompany Lab Manual Simulation Prototyping Synthesis and Post Synthesis Name- Roll Number- Total/Obtained Marks- Instructor Signature-

More information

Traffic Light Controller. Thomas Quinn, Brandon Londo, Alexander C. Vincent, Yezan Hussein

Traffic Light Controller. Thomas Quinn, Brandon Londo, Alexander C. Vincent, Yezan Hussein Traffic Light Controller Variable Cycle Time Design Thomas Quinn, Brandon Londo, Alexander C. Vincent, Yezan Hussein Electrical and Computer Engineering Department School of Engineering and Computer Science

More information

Digital. Digital. Revision: v0.19 Date: : / 76

Digital. Digital. Revision: v0.19 Date: : / 76 Digital Revision: v0.19 Date: 2018-06-14 07:01 https://github.com/hneemann/digital 1 / 76 Table of Contents A General 1. Digital...5 1.1. Introduction... 5 1.2. First Steps...5 1.3. Wires...13 1.4. Hierarchical

More information

Chapter Contents. Appendix A: Digital Logic. Some Definitions

Chapter Contents. Appendix A: Digital Logic. Some Definitions A- Appendix A - Digital Logic A-2 Appendix A - Digital Logic Chapter Contents Principles of Computer Architecture Miles Murdocca and Vincent Heuring Appendix A: Digital Logic A. Introduction A.2 Combinational

More information

ECSE-323 Digital System Design. Datapath/Controller Lecture #1

ECSE-323 Digital System Design. Datapath/Controller Lecture #1 1 ECSE-323 Digital System Design Datapath/Controller Lecture #1 2 Synchronous Digital Systems are often designed in a modular hierarchical fashion. The system consists of modular subsystems, each of which

More information

Laboratory 8. Digital Circuits - Counter and LED Display

Laboratory 8. Digital Circuits - Counter and LED Display Laboratory 8 Digital Circuits - Counter and Display Required Components: 2 1k resistors 1 10M resistor 3 0.1 F capacitor 1 555 timer 1 7490 decade counter 1 7447 BCD to decoder 1 MAN 6910 or LTD-482EC

More information

TIL311 HEXADECIMAL DISPLAY WITH LOGIC

TIL311 HEXADECIMAL DISPLAY WITH LOGIC TIL311 Internal TTL MSI IC with Latch, Decoder, and Driver 0.300-Inch (7,62-mm) Character Height Wide Viewing Angle High Brightness Left-and-Right-Hand Decimals Constant-Current Drive for Hexadecimal Characters

More information

Module 4: Traffic Signal Design Lesson 1: Traffic Signal (Arduino) Control System Laboratory Exercise Grade 6-8

Module 4: Traffic Signal Design Lesson 1: Traffic Signal (Arduino) Control System Laboratory Exercise Grade 6-8 Name: Class: Module 4: Traffic Signal Design Lesson 1: Traffic Signal (Arduino) Control System Laboratory Exercise Grade 6-8 Background Traffic signals are used to control traffic that flows in opposing

More information

PHYSICS 5620 LAB 9 Basic Digital Circuits and Flip-Flops

PHYSICS 5620 LAB 9 Basic Digital Circuits and Flip-Flops PHYSICS 5620 LAB 9 Basic Digital Circuits and Flip-Flops Objective Construct a two-bit binary decoder. Study multiplexers (MUX) and demultiplexers (DEMUX). Construct an RS flip-flop from discrete gates.

More information

1. Convert the decimal number to binary, octal, and hexadecimal.

1. Convert the decimal number to binary, octal, and hexadecimal. 1. Convert the decimal number 435.64 to binary, octal, and hexadecimal. 2. Part A. Convert the circuit below into NAND gates. Insert or remove inverters as necessary. Part B. What is the propagation delay

More information

International Research Journal of Engineering and Technology (IRJET) e-issn: Volume: 03 Issue: 07 July p-issn:

International Research Journal of Engineering and Technology (IRJET) e-issn: Volume: 03 Issue: 07 July p-issn: IC Layout Design of Decoder Using Electrical VLSI System Design 1.UPENDRA CHARY CHOKKELLA Assistant Professor Electronics & Communication Department, Guru Nanak Institute Of Technology-Ibrahimpatnam (TS)-India

More information

Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science Introductory Digital Systems Laboratory

Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science Introductory Digital Systems Laboratory Problem Set Issued: March 2, 2007 Problem Set Due: March 14, 2007 Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science 6.111 Introductory Digital Systems Laboratory

More information