8088 Microprocessor Kit User's Manual

Size: px
Start display at page:

Download "8088 Microprocessor Kit User's Manual"

Transcription

1 8088 Microprocessor Kit User's Manual Rev 1.0, January 017

2 8088 MICROPROCESSOR KIT CONTENTS OVERVIEW...4 FUNCTIONAL BLOCK DIAGRAM...4 HARDWARE LAYOUT...5 KEYBOARD LAYOUT...7 HARDWARE FEATURES...9 MONITOR PROGRAM FEATURES...9 MEMORY AND I/O MAPS...10 GETTING STARTED...11 HOW TO ENTER PROGRAM USING HEX CODE...1 USER REGISTERS DISPLAY...14 TEST CODE RUNNING WITH SINGLE STEP...15 TEST CODE RUNNING WITH BREAK POINT GPIO1 LED...19 CONNECTING KIT TO TERMINAL...0 EXPANSION BUS HEADER...4 REP KEY ms TICK GENERATOR...6 RSC PORT...9 DATA FRAME for UART COMMUNICATION...9 CONNECTING LCD MODULE...0

3 LOGIC PROBE POWER SUPPLY...1 HARDWARE SCHEMATIC, BOM MONITOR PROGRAM LISTINGS

4 OVERVIEW The 8088 Microprocessor kit is the educational kit designed for self learning the popular x86 coding. The CPU is CMOS chip, Harris 80C88 with 18kB user RAM and kb monitor ROM. The kit provides hex display and hex keys. It can display memory contents with 64kB logical locations. The hex key can use for entering the 8088 instructions. We can learn the operation of 8088 CPU by testing the code running with single step or break point easily. The kit also has serial pot for Intel hex file downloading. FUNCTIONAL BLOCK DIAGRAM Notes 1. UART is software control for low speed asynchronous communication.. The kit has 8-bit LCD module interfacing bus.. 100Hz Tick generator is for interrupt experiment. 4. Ports for display and keypad interfacing were built with discrete logic IC chips. 5. Memory and Port decoders are made with Programmable Logic Device, PLD. 4

5 HARDWARE LAYOUT RSC connector, DB9 male DC jack, +9VDC 16-pin Text LCD header. GPIO1 LED, 8-bit binary display (address is 00H) Selector for 10ms tick or INTR key 5

6 Important Notes 1. Plugging or removing the LCD module must be done when the kit is powered off!. AC adapter should provide approx. +9VDC, higher voltage will cause the voltage regulator chip becomes hot.. The kit has diode protection for wrong polarity of adapter jack. If the center pin is not the positive (+), the diode will be reverse bias, preventing wrong polarity feeding to voltage regulator. 6

7 KEYBOARD LAYOUT HEX keys Hexadecimal number 0 to F with associated user registers, AX, BX, CX, DX,SP, BP, SI, DI, CS, DS, SS, ES and flag display. CPU control keys RESET Reset the CPU, the 8088 will JUMP to location FFFF0. INTR Make INTR pin to logic low, used for experimenting with interrupt process Monitor function keys REP Repeat the key that pressed, must be pressed together with REP key. INS Insert one byte to the next location, the 104 bytes will be shifted down. DEL Delete one byte at current display, the next 104 bytes will be moved up. STEP Execute user code only single instruction and return to save CPU registers GO Jump from monitor program to user code - Decrement current display address by one + Increment current display address by one IP Set current display address with user Program Counter 7

8 REG Display user registers, used with HEX key AX register BX register CX register DX register SP register BP register SI register DI register 8 9 A B C CS register DS register SS register ES register FLAG register DATA Set entry mode of hex keys to Data field ADDR Set entry mode of hex keys to Address field OFFSET16 Compute 16-bit offset, used with key + for Destination and key GO OFFSET8 Compute 8-bit offset, used with key + for Destination and key GO TEST Write the text to LCD if connected and test gpio1 LED 8

9 HARDWARE FEATURES Hardware features: -CPU: Harris 80C88 CMOS -Oscillator: 884 with 1MHz Xtal -Memory: 18kB RAM, kb EPROM -Memory and I/O Decoder chip: Programmable Logic Device GAL16V8D -Display: high brightness 6-digit 7-segment LED -Keyboard: keys -RS port: software controlled UART 400 bit/s 8n1 -Debugging LED: 8-bit GPIO1 LED at location 00H -Tick: 10ms tick produced by 89C051 for time trigger experiment -Text LCD interface: direct CPU bus interface text LCD -Brownout reset: KIA704 reset chip for power brownout reset -Power consumption: AC adapter -Expansion header: 40-pin header MONITOR PROGRAM FEATURES MONITOR program features: -Enter 8088 instructions using hex code directly -Test code running with single step or break point -User registers for status capturing -Insert/delete byte -Intel hex file downloading using 400 bit/s RS port. 9

10 MEMORY AND I/O MAPS The kit provides two spaces of memory, i.e. 1) RAM, ) monitor ROM and space for I/O ports. On power up, the 8088 will jump to reset vector at location FFFF0. The 8088 can address up to 1MB with 0-bit address lines, A0-A19. The 1st 18kB is RAM. User can set the interrupt vectors in RAM easily. The ROM is located at F8000-FFFFF. I/O ports are located from 00H to FFH. From 00H to FFH are for expansion. GPIO1 LED is located at 0. User can use instruction that write 8-bit data with 8-bit address easily, e.g., MOV AL,#1 OUT 0,AL I/O ports 1MB Memory 0 1FFFFH 00H 01H 0H 0H F8000H 100H 00H 18kB RAM FFFFFH kb Monitor ROM GPIO1 LED PORT1 PORT PORT PORT0 LCD command write 01H LCD data write 0H LCD command read 0H LCD data write 00H FFH 10

11 GETTING STARTED The kit accepts DC power supply with minimum voltage of +7.5V. It draws DC current approx. 180mA. However we can use +9VDC from any AC adapter. The example of AC adapter is shown below. The center pin is positive. The outer is GND. 11

12 If your adapter is adjustable output voltage, try with approx. +9V. Higher voltage will make higher power loss at the voltage regulator, Dropping voltage across 7805 is approx. +V. To get +5VDC for the kit, we thus need DC input >+7.5V. When power up, we will see the boot message 8088 running Press IP key, the display address will be 400. The data field will show its content

13 HOW TO ENTER PROGRAM USING HEX CODE Let us try enter HEX CODE of the example program to the memory and test it. We write the program with x86 instructions. Address Hex code B E600 Label MAIN Instruction comment MOV AL,1 Load AL with 1 OUT 0,AL Write AL to 00 Our test program has only two instructions. The first instruction is MOV AL,1 Load AL register with the 8-bit constant, 01. This instruction has two bytes hex code i.e., B0, and 01. B0 is instruction MOV AL,n and 01 is n. The nd instruction is OUT 0,AL. Copy AL register to output port, gpio1 LED at location 00. The instruction's machine code is E6. The location of GPIO1 is 00. The total of hex codes for this small program is 4 bytes that are, B0, 01, E6,00. The first byte will be entered to location And the following bytes will be entered at 0401, 040, 040, 0404 and so on. The last byte is 00 at 40. Let us see how to enter these codes into the memory. Step 1 Press RESET then key IP, the display will show current memory address and its contents a4. Shown the location 400 has data A4. There are small dots at the data field indicating the active field, ready for modifying the hex contents. 1

14 Step Press key B and key 0. The new hex code B0 will be entered to the location BO. Step Press key + to increment the location from 400 to 401. Then enter hex key Repeat Step until completed for the last location. We can verify the hex code with key + or key -. To change the display location, press key ADDR. The dots will move to Address field. Any hex key pressed will change the display address. USER REGISTERS DISPLAY Before we test the code running, let us see how to examine user registers. User registers are the memory block in RAM that used to save the contents of CPU registers after completed a given program running. We can examine the user registers for checking our code running then. Press key REG, then press key 0, it will show 16-bit content of Data register AX. 0C5E AX Key 0 to Key are for AX, BX, CX and DX. The content is 16-bit, for example AX = 0C5E or AH=0C and AL=5E. Similar for key REG 1,, for BX, CX and DX registers. Press key Reg, 4, 5, 6, 7 for SP, BP, SI and DI register. Fe00 sp 14

15 TEST CODE RUNNING WITH SINGLE STEP Now get back to our program we have just entered. Let us take a look again. Address Hex code B E600 Label MAIN Instruction comment MOV AL,1 Load AL with 1 OUT 0,AL Write AL to 00 The code has only two instructions. We will try test the program using single step running. Step 1 Press key IP. We see that the location 400 has B B0. Step Press REG key, then key 0, to check AX register, 04cd ax Step Press PC then STEP key. The instruction MOV AL,1 will be executed. 040 E6 The display will show next instruction to be executed, that is OUT 0,AL. Step 4 Press STEP, we will see the byte 01 will be sent to gpio1 LED What is the value on gpio1 LED? To get key PC back to the location 400, press RESET key, then press IP. We see that single step running is useful for learning the operation of each instruction. We can check the result with user registers easily. 15

16 Try another example, 0400 ORG 400H 0400 FEC0 040 E EBFA START INC AL OUT 0,AL JMP START Above program is for testing single step running again. Now we see that JMP START is branch instruction that makes CPU jump back to the repeat the body. The body code is simply increment AL the then write it to gpio1 LED. Enter the hex code then use single step key to test it. See what is happening? If we keep pressing key STEP and REP, what is happening? TEST CODE RUNNING WITH BREAK POINT Single step running enables us to examine the operation of x86 instruction one by one. Another example is to run at CPU speed then stop and return to monitor program to save CPU registers to user registers that we can examine the program operation A ORG 400H B8FF1E BB6D5 0C E600 CC START MOV MOV ADD OUT INT AX,1EFFH ; LOAD AX WITH 1EFF BX,56DH ; LOAD BX WITH 56D AX,BX ; AX=AX+BX 0,AL ; WRITE AL TO GPIO1 ; BREAK, URN TO MONITOR This program adds two 16-bit constants. The first number is loaded to AX register. The nd number is loaded to BX register. Then add instruction computes binary addition AX and BX. Result will save to AX register. At the end, we put INT instruction. INT will generate software interrupt process, by returning to system monitor getting the vector at location 0000C. The service routine for INT will save CPU registers to user registers. Now let us enter code, when completed, press IP then GO. Check result in AX register with key REG, 0. What is the value in AX? 16

17 Can you compute such addition by hand? Better try with binary addition. AX= BX= AX= Convert the result into HEX digit. Compare your result with 8088 kit running. Check result in AX register. Now we see that we can test the code execution by key STEP or key GO. Key STEP will execute only single instruction then return to monitor program, saving the CPU registers to user registers. Key GO will execute user code at CPU speed. If we put INT at the end, the CPU will return to monitor program and save CPU registers to user registers. Another example with key GO ORG 400H 0400 B001 START MOV AL, LOOP OUT 0,AL ROL AL,1 CALL DELAY JMP LOOP DELAY MOV CX,000H LOOP $ E600 D0C0 E8000 EBF7 040B B E EFE 0410 C The program can test run with key GO. However, we see that at the end JMP LOOP will force CPU not to return to monitor program. Instead, to repeat running forever. Let us enter the hex code and test run with key GO. What is happening at gpio1 LED? Can you change speed of LED running? How? Can you change the pattern of LED? How? 17

18

19 GPIO1 LED The kit provides a useful 8-bit binary display. It can be used to debug the program or code running demonstration. The I/O address is 00. The output port is 8-bit data flip-flop. Logic 1 at the output will make LED lit. This debug LED is memory location at 00. We can use instruction OUT 0,AL that writes 8bit data to it will make the LED turn on for bit 1 and turn off for bit 0. The hex code for OUT 0,AL is E6, 00. Only two bytes and easy to remember! Anytime we need to check the 8-bit contents, we can copy it to AL then use this instruction to write it to the gpio1 LED easily. 19

20 CONNECTING 8088 KIT TO TERMINAL We can connect the 8088 kit to a terminal by RSC cross cable. You may download free terminal program, teraterm from this URL, RSC cross cable VT100 Terminal 8088 Kit The example shows connecting laptop with COM1 port to the RSC port of the 8088 kit. New laptop that has no COM port, we may use the USB-RS adapter for converting the USB port to RS port. To download Intel hex file that generated from the assembler or c compiler, set serial port speed to 400 bit/s, 8-data bit, no parity, no flow control, one stop bit. Step 1 Run teraterm, then click at Serial connection. 0

21 Step Click setup>serial port. Step Set serial port speed to 400 and format as shown below. 1

22 Step 4 Press ENTER key on terminal. The kit will connect terminal automatically. Press key l to download Intel hex file. Step 5 On PC, Click file>send File>TEST1.HEX.

23 The kit will read the hex file, write to memory, when completed if no checksum error, the display will show no errors. Press RESET then GO to run the program.

24 EXPANSION BUS HEADER JP1, 40-pin header provides CPU bus signals for expansion or I/O interfacing. Students may learn how to make the simple I/O port, interfacing to Analog-to-Digital Converter, interfacing to stepper motor or AC power circuits. 4

25 REP KEY REP(repeat) key, S1 is one bit active low key switch connected to bit 6 of Port 0. To test the logic of S1, we can use instruction IN AL, DX and check bit 6 of the AL register with test bit instruction. REP key is used in monitor program together with key STEP, + or to provide automatic repeating. Bit 7 is used for RXD pin. 5

26 10ms TICK GENERATOR SW1 is a selector for interrupt source between key INTR or 10ms tick produced by 89C051 microcontroller. Tick generator is software controlled using timer0 interrupt in the 89C051 chip. The active low tick signal is sent to P.7. For tick running indicator, P1.7 drives D LED. 10ms tick Tick is a 10ms periodic signal for triggering the 8088 INTR pin. When select SW1 to Tick, the 8088 CPU can be triggered by the external interrupt. The 100Hz tick or 10ms tick can be used to produce tasks that executed with multiple of tick. 10ms 10ms 10ms 6

27 Example program that uses 10ms tick is shown below. We know that the x86 provides 56 interrupt vectors started at location 0000 to 0FF. The kit provides simple circuit that supplies the vector byte FF when the CPU request the vector byte on the data bus. Thus the vector location that stores IP and CS will be FD:FC and FF:FE. The sample program will show the binary counting at one second rate or every 100 ticks. To test this code we must set SW1 to 10ms tick position. 0FC org fch 0FC 00 0FD 05 dfb service_timer&0ffh dfb service_timer>>8 0FE 00 0FF 00 dfb 0 dfb org 400h 0400 FB 0401 EBFE sti jmp $ 0500 org 500h B FEC4 80FC B400 FEC0 E D CF 0000 service_timer inc ah cmp ah,100 jnz skip mov ah,0 inc al out 0,al skip iret end The service routine is located at 500H. It uses AH as the counter for checking if its contents is equal to 100 then clear it and increment AL register, write the AL to GPIO1 LED. Main code is just enable the interrupt flag then JUMP here waiting for external interrupt from 10ms tick generator. At location FC to FF we must insert the service routine's address with IP and CS. You can test this timer interrupt by entering the codes to the kit memory then start running with key GO at location 400.

28 What is happening at the gpio1 LED? Can you change the counting rate? How? 8

29 RSC PORT The RSC port is for serial communication. We can use a cross cable or null MODEM cable to connect between the kit and terminal. The connector for both sides are DB9 female. We may build it or buying from computer stores. For new PC or laptop computer without the RS port. It has only USB port, we may have the RSC port by using the USB to RS converter. DATA FRAME for UART COMMUNICATION Serial data that communicated between kit and terminal is asynchronous format. The kit has no UART chip, instead it uses software controlled to produce bit rate of 400 bit/s. The data frame is composed of start bit, 8-data bit and stop bit. For our kit, period = 1/400 = 417 microseconds. Since bit period is provided by machine cycle delay. Thus to send/receive serial data correctly, all interrupts must be disabled. 9

30 CONNECTING LCD MODULE JR1 is 16-pin header for connecting the LCD module. The example shows connecting the 0x line text LCD module. R19 is a current limit resistor for back-light. R0 is trimmer POT for contrast adjustment. The LCD module is interfaced to the 8088 bus directly. The command and data registers are located in I/O space having address from 00H to 0H. Be advised that plugging or removing the LCD module must be done when the kit is powered off. Text LCD module accepts ASCII codes for displaying the message on screen. Without settings the LCD by software, no characters will be displayed. The first line will be black line by adjusting the R0 for contrast adjustment. If the LCD module is connected, key TEST will write text to the LCD for testing. 0

31 LOGIC PROBE POWER SUPPLY The kit provides test points TP1(+5V) and TP(GND) for using the logic probe. Students may learn digital logic signals with logic probe easily. Tick signal is indicated by D LED blinking. Red clip is for +5V and Black clip for GND. + 5V at TP1 GND at TP 1

32 HARDWARE SCHEMATIC, PARTS LIST

33 D D C C B B A A PLD decoder logic CPU BUS expansion connector 18kB SRAM Designed by Wichit Sirichote, kswichit@kmitl.ac.th, (C)016 0xFC000-0xFFFFF Monitor Program OSC/ CLK/ optional interrupt vector 4MHz MHz 0x x1FFFF RAM <Doc> MICROPROCESSOR KIT (C) 016 B 1 Monday, June 7, 016 Title Size Document Number Rev Date: Sheet of D7 A5 A11 D0 A8 D A7 IO/M* A18 A0 D6 D RD* PCLK A17 RAM_CE A16 D4 A D1 A RAM_CE ROM_CE A1 D0 D A9 D5 INTR A6 D1 A19 A1 D5 D4 A15 A1 A10 ROM_CE D6 A4 RESET INTA D7 A14 D RD* WR* RD* WR* IO/M* A19 RD* A18 A9 A8 WR* A17 CLK READY RESET PCLK RESET PCLK INTR D A1 A1 A10 UA14 D4 A6 A16 A1 A4 D6 A DT/R* UA14 A15 D1 A1 UA17 A1 A5 A11 D7 WR* VSS A1 D4 A D0 A A6 A8 A5 D4 D5 INTA UA15 UA19 D A7 A15 A9 DEN* A8 UA1 A14 D5 D0 D UA19 A11 UA16 VDD D D7 A1 UA18 A16 A[8..16] D6 A10 UA16 A18 A7 A6 A7 A11 A0 CLK D7 A8 A1 A0 A9 UA1 A17 A1 D A4 A0 D A5 D0 UA18 UA15 A14 A A10 D5 RD* UA17 D6 UA1 A A[0..7] A9 A1 UA1 READY D1 D1 A14 A4 A0 IO/M* RESET A19 A GND INTR D0 D1 D D D4 D5 D6 D7 ALE ALE D6 PORT0 D1 VCC PORT1 A1 LCD_E PORT D[0..7] D4 A[0..7] D5 D D0 A0 D GPIO1 D7 RESET PORT +5V +5V +5V +5V VCC +5V +5V VCC +5V VCC +5V +5V +5V VCC +5V +5V +5V +5V SW RESET C6 0.1uF C0 100nF R5 10k C9 0.1uF Q1 KIA704 1 JP1 HEADER 0X C5 1000uF5V U X1 X RDY1 RDY AEN1 AEN EFI CSYNC ASYNC F/C RES READY CLK RESET PCLK OSC + C 10uF TP1 +5V 1 TP GND 1 D LED R9 10k U6 74HC OE LE VCC 1Q Q Q 4Q 5Q 6Q 7Q 8Q 1D D D 4D 5D 6D 7D 8D R1 680 R U1 7C A0 A1 A A A4 A5 A6 A7 A8 A9 A10 A11 A1 A1 A14 CE OE VPP O0 O1 O O O4 O5 O6 O7 U7B 74LS14 4 C10 0.1uF U7C 74LS J1 DC Input 1 + C 10uF + C1 uf R11 1k U7D 74LS C4 10uF 16V U7F 74LS Y MHz SW1 1 C7 0.1uF U VIN GND VOUT C11 0.1uF U5 80C88MIN MN READY CLK RESET INTR HLDA HOLD NMI TEST AD0 AD1 AD AD AD4 AD5 AD6 AD7 A8 A9 A10 A11 A1 A1 A14 A15 A16/S A17/S4 A18/S5 A19/S6 SSO DEN DT/R IO/M RD WR ALE INTA VCC C R8 RESISTOR SIP R 10k U HM6818A A0 A1 A A A4 A5 A6 A7 A8 A9 A10 A11 A1 A1 A14 A15 A16 CS1 CS OE WE D0 D1 D D D4 D5 D6 D7 U9 74HC OE LE VCC 1Q Q Q 4Q 5Q 6Q 7Q 8Q 1D D D 4D 5D 6D 7D 8D D4 1N C1 0.1uF U GAL16V8D I/CLK I/OE I/O/Q I/O/Q I/O/Q I/O/Q I/O/Q I/O/Q I/O/Q I/O/Q I I I I I I I I D POWER R 510 R4 510 D16 TVS15V U7A 74LS14 1 S1 INTR C8 0.1uF U4 AT89C RST/VPP P1.0/AIN0 P1.1/AIN1 P1. P1. P1.4 P1.5 P1.6 P1.7 VCC P.0/RXD P.1/TXD P./INTO P./INT1 P.4/T0 P.5/T1 P.7 XTAL1 XTAL R6 10k R7 10k

34 D D C C B B A A 0x01 <Doc> Microprocessor kit B Monday, June 7, 016 Title Size Document Number Rev Date: Sheet of D PC6 PC1 PA1 D PC7 A PC0 A G PA PC5 PC1 D D D7 E D D7 PA6 D D PA7 C PC C PC0 D0 PA5 F D0 D1 PA1 PC4 D4 PC0 PA7 D4 PA PC D DP D1 PA D1 D5 E D5 F DP D6 PC PA0 D PC G D5 PC6 PA4 PC4 B PA0 PC1 B PC PC PA G D D0 PA5 D0 F D4 D6 E C A D7 SPEAKER DP PA6 D1 D6 PC5 D D4 PC5 PA4 D5 PC4 D7 D6 B PC7 D0 D1 D D SPEAKER D4 D5 VCC TXD D0 PORT0 D PORT D7 D6 RESET D1 PORT1 D RXD PORT RESET VCC VCC VCC +5V VCC +5V VCC VCC S5 C14 100nF S6 S U1 LTC-477JR A B C D E F G DP DIGIT1 DIGIT DIGIT DIGIT4 L1LL S7 S9 S8 U16 74LS D1 D D D4 CLK CLR Q1 Q1 Q Q Q Q Q4 Q4 S0 S1 S5 LS1 SPEAKER R15 10 U1 74HC OE LE VCC 1Q Q Q 4Q 5Q 6Q 7Q 8Q 1D D D 4D 5D 6D 7D 8D S4 + C1 10uF S4 S5 U7E 74LS S SW PUSHBUTTON S6 S7 C R16 10k RESISTOR SIP S8 C R1 10k RESISTOR SIP R1 4.7k S10 S S11 S1 S1 U14 74HC OE LE VCC 1Q Q Q 4Q 5Q 6Q 7Q 8Q 1D D D 4D 5D 6D 7D 8D S14 U15 74HC A1 A A A4 A5 A6 A7 A8 G1 G Y1 Y Y Y4 Y5 Y6 Y7 Y8 VCC S16 S17 S18 S19 S0 Q BC7 1 S S1 U11 LTC-477JR A B C D E F G DP DIGIT1 DIGIT DIGIT DIGIT4 L1LL S4

35 5 4 1 D D0 D1 D D D4 D5 D6 D7 RESET D0 D1 D D D4 D5 D6 D7 GPIO1 D0 D1 D D D4 D5 D6 D U17 1D D D 4D 5D 6D 7D 8D 11 LE 1 VCC OE 0 VCC 74HC57 1Q Q Q 4Q 5Q 6Q 7Q 8Q D7 D8 D9 LED D10 D11 D1 LED D1 D14 D D15 C 16x text LCD interface 1N56A C B JR CONN RECT 16 R19 10 D7 D6 D5 D4 D D D1 D0 R/W RS +5V +5V A1 A0 1 LCD_E A1 A0 8-bit Binary display LED x8 VB V uF 10V C15 C17 10uF U18 V+ V- T1OUT TOUT R1IN RIN C+ C1- C+ C- T1IN TIN R1OUT ROUT uF C uF C18 RXD TXD B R0 10K SUB-D 9, Male (cross cable) MAXA +5V C19 100nF +5V VCC VCC +5V VCC A A Title 8088 Microprocessor kit Size Document Number Rev B <Doc> Date: Monday, June 7, 016 Sheet of 1

36 PARTS LIST Capacitors Semiconductors C1 10uF electrolytic capacitor C,C,C1,C16,C17,C18 10uF U1 7C56 kb EPROM C4 10uF 16V U HM6818A 18kB Static RAM C5 1000uF5V U GAL16V8D, programmable logic device C6,C7,C8,C9,C10 0.1uF U4 AT89C051, 8-bit microcontroller C11,C1 0.1uF U5 80C88, Harris 16-bit microprocessor C14,C19,C0 100nF U6,U9,U1,U14,U17 74HC57 C15 10uF U7 74HC14 U8 884, oscillator Additional parts U , voltage regulator U1,U11 LTC-477, 7-segment display JP1 HEADER 0X U15 74HC541 JR1 CONN RECT 16 U16 74HC175 J1 DC Input U18 MAXA LS1 SPEAKER D,D7,D8,D9,D10,D11,D1, LED D1,D14 D POWER D4 1N4007 D15 1N57A, D16 TVS15V Q1 KIA704, voltage detector Q BC557. PNP transistor Resistors (all resistors are 1/8W +/-5%) R1 680 Ohms R,R5,R6,R7,R9,R0 10K R,R4 510 Ohms R8 RESISTOR SIP 9 R Ohms R11 1k R16,R1 10k RESISTOR SIP 9 R1 4.7k R19,R15 10 SW1 SW MAG-SPDT SW RESET S1 INTR S 0 S,S4,S5,S6,S7,S8,S10, SW PUSHBUTTON S11,S1,S1,S14,S16,S17, S18,S19,S0,S1,S,S4, S5,S6,S7,S8,S9,S0, S1,S,S,S4,S5 TP1 +5V TP GND VB1 SUB-D 9, Male (cross cable) Y MHz PCB double side plate through hole LED color filter acrylic plastic Keyboard sticker printable SVG file

37 MONITOR PROGRAM LISTINGS

38 MON88B.LST 16/1/560 1: ; MONITOR SOURCE CODE FOR 8088 MICROPROCESSOR KIT ; COPYRIGHT (C) 016 WICHIT SIRICHOTE ; ; MON88.ASM ; ASSEMBLED WITH C CROSS ASSEMBLER ; 9 SEPTEMBER 015 CHANGE GPIO1'S LOCATION FROM 100H TO 00 ; USING GPIO1 WITH SIMPLE 8-BIT ADDRESS WILL BE EASIER ; 0 MAY 016 ADJUST BEEP FREQUENCY ; June 016 change XTAL to 14.18MHz ; 5 June 016 test software UART at 4800 bit/s ; 10 June 016 change xtal to 1MHz ; 15 June 016 test software UART 400 bit/s ; 16 june 016 add serial commands ; 7 june 016 add lcd drivers, test key (17H),offset16(18H),offset8 ; 8 june 016 add offset calculation for 16-bit and 8-bit ; 4 Aug 016 add far call /ret for calling from lowest space 0000 CPU "8086.TBL" ; CPU TABLE 0000 HOF "INT8" ; HEX OUTPUT FORMAT 0100 = PORT0 EQU 100H ; INPUT PORT, UART RXD BIT7, USER KEY S = PORT1 EQU 1 ; DIGIT CONTROL 000 = PORT EQU ; SEGMENT 000 = PORT EQU ; BI-COLOR LED, UART TXD BIT, SPEAKER BIT 0000 = GPIO1 EQU 0 ; CHANGE LOCATION OF GPIO1 FROM 100 TO = LCD EQU 00H 000 = USER EQU 00H FE00 = USER_STACK EQU 0FE00H FF00 = SYSTEM_STACK EQU 0FF00H FF00 = system_ram equ 0ff00h ; 001B = Esc equ 1bh 0000 = eos equ 0 000D = cr equ 1 000A = lf equ = command_write equ = command_read equ 0001 = data_write equ = data_read equ 0080 = busy equ 80h FF00 org system_ram FF00 sram_pointer dfs ; 16-bit pointer FF0 bcs dfs 1 ; byte check sum FF0 bcs_error dfs 1 ; byte check sum = 1 error FF04 USER_FLAG dfs FF06 USER_IP dfs FF08 USER_CS dfs FF0A user_ds dfs FF0C user_es dfs FF0E user_ss dfs FF10 user_sp dfs FF1 user_ax dfs FF14 user_bx dfs FF16 user_cx dfs FF18 user_dx dfs FF1A user_bp dfs FF1C user_si dfs FF1E user_di dfs FF0 long_i dfs 4 ; general -bit counter FF4 long_j dfs 4 FF8 long_k dfs 4 Page 1 of 0

39 MON88B.LST 16/1/560 1: FFC BUFFER DFS 16 ; 16-BYTE BUFFER DISPLAY FFC CURRENTAD DFS ; CURRENT DISPLAY ADDRESS FFE STATE DFS 1 ; DISPLAY STATE FOR ADDRESS AND DATA FILED ; STATE= OFFSET16 CALCULATION ; STATE=4 OFFSET8 CALCULATION FFF COUNTER1 DFS 1 ; FOR ENTERING ADDRESS OR DATA MODE FF40 SAVE_SYSTEM_STACK DFS FF4 WARMCODE DFS FF44 command dfs 1 ; serial command FF45 flag1 dfs 1 ; user flag ; flag1.0 Space key was pressed ; flag1.1 Enter key was pressed FF46 beep_flag dfs 1 ; beep/no beep FF47 start_address dfs ; for offset calculation FF49 destination dfs C000 org 0C000h C000 start: C000 FA CLI ; DISABLE INTERRUPTS C001 C0 C00 8BF0 C005 8BF8 xor ax,ax mov si,ax mov di,ax C007 B800FF mov ax,system_stack ;top_of_stack ; set top of stack C00A 8BE0 mov sp,ax C00C BA000 C00F B000 C011 EE C01 B0FF C014 BA0100 C017 EE MOV DX, MOV AL,0 OUT DX,AL MOV AL,0FFH MOV DX,1 OUT DX,AL ; FILL INTERRUPT VECTORS TO POINT TO UNWANTED INTERRUPT SO THAT STRAY ; INTERRUPTS DO NOT CAUSE THE BOARD HANG C018 BF0000 MOV DI, 0 ; START AT 0 (ASSUMES DS IS SET UP) C01B B9FF00 MOV CX, 55 ; DO 56 TIMES ; left the last vector fo C01E FILL_A: C01E C7051BC9 MOV word ptr [DI], UNWANTED_INT C0 8C704 ADD DI, 4 ; FILL OFFSETS C05 EF7 LOOP FILL_A C07 BF000 MOV DI, ; START AT C0A B9FF00 MOV CX, 55 ; DO 56 TIMES C0D FILL_B: C0D C MOV word ptr [DI], 0000h C01 8C704 ADD DI, 4 ; FILL SEGMENTS C04 EF7 LOOP FILL_B ; INSERT VECTOR FOR INT INSTRUCTION (WITH HEX CODE $CC) C06 B81CC7 MOV AX,SERVICE_BREAK C09 A0C00 MOV [000CH],AX ; SERVICE ADDRESS FOR INT C0C B800F0 MOV AX,0F000H ; CS SEGMENT FOR MONTIOR ROM C0F A0E00 MOV [000EH],AX ; INSERT VECTOR FOR INT 1 TRAP Page of 0

40 MON88B.LST 16/1/560 1: C04 B81CC7 MOV AX,SERVICE_BREAK C045 A0400 MOV [0004H],AX ; SERVICE ADDRESS FOR INT C048 B800F0 MOV AX,0F000H ; CS SEGMENT FOR MONTIOR ROM C04B A0600 MOV [0006H],AX C04E B0FF C050 BA0000 C05 EE C054 E81006 C057 E88E06 C05A B07F C05C AFF C05F B0F C061 A1FF C064 B07F C066 A0FF C069 AFFF C06C A14FF C06F D99AA C C074 B899AA C077 A4FF C07A B007 C07C E60 C07E E8D106 C081 E8706 C084 MOV AL,0FFH MOV DX,GPIO1 OUT DX,AL CALL INIT CALL CLR_DISPLAY MOV AL,7FH MOV [BUFFER+6],AL MOV AL,FH MOV [BUFFER+5],AL MOV AL,7FH MOV [BUFFER+4],AL MOV [BUFFER+],AL MOV AX,[WARMCODE] CMP AX,0AA99H JE WARM_BOOT MOV AX,0AA99H MOV [WARMCODE],AX MOV AL,07 ; TEST BICOLOR LED OUT PORT,AL CALL SHOW_MSG CALL BEEP WARM_BOOT C084 BA000 MOV DX, C087 B04F MOV AL,4FH ;OUT DX,AL C089 BA0100 MOV DX,1 C08C B0FE MOV AL,0FEH C08E EE OUT DX,AL C08F B000 MOV AL,0 C091 BA0000 MOV DX,GPIO1 C094 EE OUT DX,AL ; TURN OFF GPIO1 LED C095 B004 C097 E60 MOV AL,04 ; TURN OFF BICOLOR LED OUT PORT,AL ;CALL READ_MEMORY C099 BECFF MAIN MOV SI, BUFFER C09C E87705 CALL SCAN1 C09F 80FCFF CMP AH,-1 C0A 750 JNE CHK_REP C0A4 EB17 JMP SKIP1 C0A6 BA0001 C0A9 EC C0AA 440 C0AC 740 C0AE EBE9 CHK_REP MOV DX,PORT0 IN AL,DX AND AL,40H JZ SKIP JMP MAIN ; PUT SOME DELAY FOR REPEAT KEY THAT PRESSED C0B0 B91E00 SKIP MOV CX,0 C0B 51 SKIP PUSH CX C0B4 BECFF MOV SI,BUFFER C0B7 E85C05 CALL SCAN1 C0BA 59 POP CX C0BB EF6 LOOP SKIP C0BD SKIP1 C0BD E8B01 CALL DELAY ; key released Page of 0

41 MON88B.LST 16/1/560 1: C0C0 E8801 call delay C0C UNTIL_PRESS C0C BECFF MOV SI,BUFFER C0C6 E84D05 CALL SCAN1 C0C9 80FCFF CMP AH,-1 C0CC 74F5 JE UNTIL_PRESS C0CE E81A01 CALL DELAY C0D1 E806 CALL BEEP ; CONVERT SCAN CODE TO INTERNAL CODE C0D4 BE69CA MOV SI,KEYTAB C0D7 8AC4 MOV AL,AH C0D9 B400 MOV AH,0 C0DB 0F0 ADD SI,AX C0DD E SEG CS C0DE 8A04 MOV AL,[SI] ;out gpio1,al ; check internal code C0E0 C10 CMP AL,10H C0E 7D05 JGE FUNCTIONS ; HEX KEY PRESSED C0E4 E870 CALL KEYHEX C0E7 EBB0 jmp MAIN ; FUNCTIONS KEY PRESSED C0E9 FUNCTIONS C0E9 C1 CMP AL,1H ; KEY ADDRESS C0EB 7505 JNE FUNC1 C0ED E85C0 CALL KEY_ADDRESS C0F0 EBA7 JMP MAIN C0F C1 FUNC1 CMP AL,1H ; KEY DATA C0F JNE FUNC C0F6 E8470 CALL KEY_DATA C0F9 EB9E JMP MAIN C0FB C0 FUNC CMP AL,0H ; KEY + C0FD 7505 JNE FUNC C0FF E8180 CALL KEY_PLUS C10 EB95 JMP MAIN C104 C19 FUNC CMP AL,19H ; KEY - C JNE FUNC4 C108 E80 CALL KEY_MINUS C10B EB8C JMP MAIN C10D C10 FUNC4 CMP AL,10H; KEY IP C10F 7505 JNE FUNC5 C111 E8F501 CALL KEY_IP C114 EB8 JMP MAIN C116 C11 FUNC5 CMP AL,11H ; KEY REG C JNE FUNC6 C11A E8D401 CALL KEY_REG C11D E979FF JMP MAIN C10 C1 FUNC6 CMP AL,1H; KEY GO C JNE FUNC7 C14 E8401 CALL KEY_GO C17 E96FFF JMP MAIN C1A C FUNC7 CMP AL,H; KEY STEP C1C 7506 JNE FUNC8 C1E E8801 CALL KEY_STEP C11 E965FF JMP MAIN Page 4 of 0

42 MON88B.LST 16/1/560 1: C14 C4 FUNC8 CMP AL,4H; KEY INSERT C JNE FUNC9 C18 E8CE00 CALL KEY_INS C1B E95BFF JMP MAIN C1E C FUNC9 CMP AL,H; KEY DELETE C JNE FUNC10 C14 E8AC00 CALL KEY_DEL C145 E951FF jmp main C148 C40 C14A 750 C14C E87407 C14F C17 C C15 E88408 C156 E940FF FUNC10 CMP AL,40H JNE FUNC11 CALL TERMINAL FUNC11 cmp al,17h jne func1 call test_key jmp main C159 C18 func1 cmp al,18h ; KEY OFFSET16 C15B 7506 jne func1 C15D E81100 call offset_16 C160 E96FF jmp main C16 C1A func1 CMP AL,1AH ; KEY OFFSET8 C JNE FUNC14 C167 E84400 CALL OFFSET_8 C16A E9CFF JMP MAIN C16D 90 FUNC14 NOP ; ADD MORE FUNCTIONS HERE C16E E98FF JMP MAIN ;************************************************************************ C171 8B1ECFF offset_16 mov bx,[currentad] C E47FF mov [start_address],bx C179 B00 mov al, C17B AEFF mov [state],al ; set state to for offset 16 calculation C17E B000 C180 AFFF C18 B040 C185 ADFF C188 B05E C18A ACFF C18D A0FFF C190 0C80 C19 AFFF C195 A00FF C198 0C80 C19A A0FF C19D A01FF C1A0 0C80 C1A A1FF C1A5 A0FF C1A8 0C80 C1AA AFF C1AD C MOV AL,0 MOV [COUNTER1],AL mov al,40h mov [buffer+1],al mov al,5eh mov [buffer],al MOV AL,[BUFFER+] OR AL,80H MOV [BUFFER+],AL MOV AL,[BUFFER+4] OR AL,80H MOV [BUFFER+4],AL MOV AL,[BUFFER+5] OR AL,80H MOV [BUFFER+5],AL MOV AL,[BUFFER+6] OR AL,80H MOV [BUFFER+6],AL ret C1AE 8B1ECFF OFFSET_8 mov bx,[currentad] C1B 891E47FF mov [start_address],bx C1B6 B004 mov al,4 Page 5 of 0

43 MON88B.LST 16/1/560 1: C1B8 AEFF mov [state],al ; set state to 4 for offset 8 calculation C1BB B000 C1BD AFFF C1C0 B040 C1C ADFF C1C5 B05E C1C7 ACFF C1CA A0FFF C1CD 0C80 C1CF AFFF C1D A00FF C1D5 0C80 C1D7 A0FF C1DA A01FF C1DD 0C80 C1DF A1FF C1E A0FF C1E5 0C80 C1E7 AFF C1EA C MOV AL,0 MOV [COUNTER1],AL mov al,40h mov [buffer+1],al mov al,5eh mov [buffer],al MOV AL,[BUFFER+] OR AL,80H MOV [BUFFER+],AL MOV AL,[BUFFER+4] OR AL,80H MOV [BUFFER+4],AL MOV AL,[BUFFER+5] OR AL,80H MOV [BUFFER+5],AL MOV AL,[BUFFER+6] OR AL,80H MOV [BUFFER+6],AL ret C1EB B9F401 DELAY MOV CX,500 C1EE EFE LOOP $ C1F0 C C1F1 8B6CFF KEY_DEL C1F5 8BFE C1F7 81C70004 ; DELETE BYTE AT THE CURRENT ADDRESS MOV DI,SI ADD DI,104 MOV SI,[CURRENTAD] C1FB 8A4401 DELETE MOV AL,[SI+1] C1FE 8804 MOV [SI],AL C00 46 INC SI C01 BF7 CMP SI,DI C0 75F6 JNE DELETE C05 E890 C08 C C09 8B6CFF KEY_INS C0D 46 INC SI C0E 8BFE C10 81C70004 CALL READ_MEMORY ; INSERT BYTE FROM THE CURRENT ADDRESS MOV DI,SI ADD DI,104 MOV SI,[CURRENTAD] C14 8A45FF INSERT1 MOV AL,[DI-1] C MOV [DI],AL C19 4F DEC DI C1A BF7 CMP SI,DI C1C 75F6 JNE INSERT1 C1E B000 MOV AL,0 Page 6 of 0

44 MON88B.LST 16/1/560 1: C C 89ECFF C6 E870 C9 C CA MOV [DI],AL MOV [CURRENTAD],DI CALL READ_MEMORY ;************************************************************************ COMPUTE_OFFSET16 CA 8B16CFF MOV DX,[CURRENTAD] ; GET DESTINATION ADDRESS CE 8B1E47FF MOV BX,[START_ADDRESS] ; C 8C0 ADD BX, C5 BD SUB DX,BX C7 8BE47FF MOV DI,[START_ADDRESS] CB 8915 CD 89ECFF C41 B001 C4 AEFF C46 E850 C49 C C4A MOV [DI],DX MOV [CURRENTAD],DI MOV AL,1 MOV [STATE],AL CALL READ_MEMORY COMPUTE_OFFSET8 C4A 8B16CFF MOV DX,[CURRENTAD] ; GET DESTINATION ADDRESS C4E 8B1E47FF MOV BX,[START_ADDRESS] ; C5 8C01 ADD BX,1 C55 BD SUB DX,BX C57 8BE47FF MOV DI,[START_ADDRESS] ; HERE IS FOR OFFEST BYTE LOCATION C5B 8815 MOV [DI],DL ; WRITE ONLY LOW BYTE C5D 89ECFF C61 B001 C6 AEFF C66 E80 C69 C C6A A0EFF C6D C0 C6F 7504 C71 E8B6FF C74 C C75 C75 C04 C C79 E8CEFF C7C C C7D C7D 8BC4 C7F A40FF MOV [CURRENTAD],DI MOV AL,1 MOV [STATE],AL CALL READ_MEMORY ;************************************************************************ ; JUMP FROM MONITOR PROGRAM TO USER PROGRAM WITH CS=0000 ; STATE= COMPUTE OFFSET16 ; STATE=4 COMPUTE OFFSET8 KEY_GO MOV AL,[STATE] CMP AL, JNE CHECK_GO4 CALL COMPUTE_OFFSET16 CHECK_GO4 CMP AL,4 JNE CHECK_GO5 CALL COMPUTE_OFFSET8 CHECK_GO5 MOV AX,SP MOV [SAVE_SYSTEM_STACK],AX C8 A100FE MOV AX,[USER_STACK] C85 8BE0 MOV SP,AX ; LOAD SP WITH USER STACK C87 A108FF C8A 50 C8B A106FF C8E 50 C8F A11EFF C9 50 C9 A11CFF C96 50 MOV AX,[USER_CS] PUSH AX MOV AX,[USER_IP] PUSH AX MOV AX,[USER_DI] PUSH AX MOV AX,[USER_SI] PUSH AX Page 7 of 0

45 MON88B.LST 16/1/560 1: C97 A11AFF MOV AX,[USER_BP] C9A 50 PUSH AX C9B A118FF MOV AX,[USER_DX] C9E 50 PUSH AX C9F A116FF MOV AX,[USER_CX] CA 50 PUSH AX CA A114FF MOV AX,[USER_BX] CA6 50 PUSH AX CA7 A11FF MOV AX,[USER_AX] CAA 50 PUSH AX CAB 58 POP AX CAC 5B POP BX CAD 59 POP CX CAE 5A POP DX CAF 5D POP BP CB0 5E POP SI CB1 5F POP DI CB CB F ; JUMP TO USER PROGRAM WITH CS = 0000 ; JUMP FROM MONITOR PROGRAM TO USER PROGRAM WITH CS=0000 and SET TRAP FLA CB KEY_STEP CB 8BC4 MOV AX,SP CB5 A40FF MOV [SAVE_SYSTEM_STACK],AX CB8 A100FE MOV AX,[USER_STACK] CBB 8BE0 MOV SP,AX ; LOAD SP WITH USER STACK CBD 9C PUSHF ; SET TRAP FLAG CBE 8BEC MOV BP,SP CC0 814E OR WORD PTR[BP+0],100H CC5 A108FF MOV AX,[USER_CS] CC8 50 PUSH AX CC9 A106FF MOV AX,[USER_IP] CCC 50 PUSH AX CCD A11EFF MOV AX,[USER_DI] CD0 50 PUSH AX CD1 A11CFF MOV AX,[USER_SI] CD4 50 PUSH AX CD5 A11AFF MOV AX,[USER_BP] CD8 50 PUSH AX CD9 A118FF MOV AX,[USER_DX] CDC 50 PUSH AX CDD A116FF MOV AX,[USER_CX] CE0 50 PUSH AX CE1 A114FF MOV AX,[USER_BX] CE4 50 PUSH AX CE5 A11FF MOV AX,[USER_AX] CE8 50 PUSH AX CE9 58 POP AX CEA 5B POP BX CEB 59 POP CX CEC 5A POP DX CED 5D POP BP CEE 5E POP SI CEF 5F POP DI CF0 CF I ; JUMP TO USER PROGRAM WITH CS = 0000 AND SET TRAP FLAG ; KEY REGISTERS CF1 B00 KEY_REG MOV AL, CF AEFF MOV [STATE],AL Page 8 of 0

46 MON88B.LST 16/1/560 1: CF6 E8EF0 CALL CLR_DISPLAY CF9 B050 MOV AL,50H CFB A1FF MOV [BUFFER+5],AL CFE B079 MOV AL,79H C00 A0FF MOV [BUFFER+4],AL C0 B06F MOV AL,6FH C05 AFFF MOV [BUFFER+],AL C08 C C09 B000 KEY_IP MOV AL,0 C0B AEFF MOV [STATE],AL C0E 8B1E06FF MOV BX,[USER_IP] C1 891ECFF MOV [CURRENTAD],BX C16 E880 CALL READ_MEMORY C19 C C1A KEY_PLUS C1A B000 MOV AL,0 C1C AEFF MOV [STATE],AL C1F AFFF MOV [COUNTER1],AL C A1CFF MOV AX,[CURRENTAD] C5 40 INC AX C6 ACFF MOV [CURRENTAD],AX C9 E86F0 CALL READ_MEMORY CC C CD KEY_MINUS CD B000 MOV AL,0 CF AEFF MOV [STATE],AL C AFFF MOV [COUNTER1],AL C5 A1CFF MOV AX,[CURRENTAD] C8 48 DEC AX C9 ACFF MOV [CURRENTAD],AX CC E85C0 CALL READ_MEMORY CF C C40 KEY_DATA C40 B000 MOV AL,0 C4 AEFF MOV [STATE],AL C45 AFFF MOV [COUNTER1],AL C48 E8500 CALL READ_MEMORY C4B C C4C KEY_ADDRESS C4C B001 MOV AL,1 C4E AEFF MOV [STATE],AL C51 B000 MOV AL,0 C5 AFFF MOV [COUNTER1],AL C56 E840 CALL READ_MEMORY C59 C ; KEYHEX ; ENTRY: AL = HEX KEY PRESSED C5A 8A6EFF KEYHEX MOV AH,[STATE] C5E 80FC01 CMP AH,1 C JNE KEYHEX1 C6 E8BE01 CALL ENTER_ADDRESS C66 C C67 80FC00 KEYHEX1 CMP AH,0 C6A 7504 JNE KEYHEX C6C E88C01 CALL ENTER_DATA C6F C Page 9 of 0

47 MON88B.LST 16/1/560 1: C70 80FC0 KEYHEX CMP AH, C JNE KEYHEX C75 E81500 CALL REG_DISPLAY C78 C C79 80FC0 C7C 7504 C7E E8CF01 C81 C C8 80FC04 C C87 E8C601 C8A C C8B 90 C8C C C8D C00 C8F 7504 C91 E8600 C94 C KEYHEX CMP AH, JNE KEYHEX4 CALL ENTER_DESTINATION KEYHEX4 CMP AH,4 JNE KEYHEX5 CALL ENTER_DESTINATION KEYHEX5 NOP ; REG KEY DISPLAY USER REGISTERS ; USE WITH HEX KEY REG_DISPLAY CMP AL,0 JNE REG1 CALL DISPLAY_AX C95 C01 REG1 CMP AL,1 C JNE REG C99 E86F00 CALL DISPLAY_BX C9C C C9D C0 REG CMP AL, C9F 7504 JNE REG CA1 E87B00 CALL DISPLAY_CX CA4 C CA5 C0 REG CMP AL, CA JNE REG4 CA9 E88700 CALL DISPLAY_DX CAC C CAD C04 REG4 CMP AL,4 CAF 7504 JNE REG5 CB1 E8900 CALL DISPLAY_SP CB4 C CB5 C05 REG5 CMP AL,5 CB JNE REG6 CB9 E89F00 CALL DISPLAY_BP CBC C CBD C06 REG6 CMP AL,6 CBF 7504 JNE REG7 CC1 E8AB00 CALL DISPLAY_SI CC4 C CC5 C07 REG7 CMP AL,7 CC JNE REG8 CC9 E8B700 CALL DISPLAY_DI CCC C CCD C08 REG8 CMP AL,8 CCF 7504 JNE REG9 CD1 E8C00 CALL DISPLAY_CS CD4 C CD5 C09 REG9 CMP AL,9 CD JNE REG10 CD9 E8CF00 CALL DISPLAY_DS CDC C CDD C0A REG10 CMP AL,0AH CDF 7504 JNE REG11 CE1 E8DB00 CALL DISPLAY_SS Page 10 of 0

48 MON88B.LST 16/1/560 1: CE4 C CE5 C0B REG11 CMP AL,0BH CE JNE REG1 CE9 E8E700 CALL DISPLAY_ES CEC C CED C0C REG1 CMP AL,0CH CEF 7504 JNE REG1 CF1 E8F00 CALL DISPLAY_FLAG CF4 C CF5 90 REG1 NOP CF6 C ; DISPLAY USER REGISTERS CF7 E8EE0 DISPLAY_AX CALL CLR_DISPLAY CFA A11FF MOV AX,[USER_AX] CFD E8AF0 CALL WORDLED C400 B077 MOV AL,77H C40 ADFF MOV [BUFFER+1],AL C405 B064 MOV AL,64H C407 ACFF MOV [BUFFER],AL C40A C C40B E8DA0 DISPLAY_BX CALL CLR_DISPLAY C40E A114FF MOV AX,[USER_BX] C411 E89B0 CALL WORDLED C414 B07C MOV AL,7CH C416 ADFF MOV [BUFFER+1],AL C419 B064 MOV AL,64H C41B ACFF MOV [BUFFER],AL C41E C C41F E8C60 DISPLAY_CX CALL CLR_DISPLAY C4 A116FF MOV AX,[USER_CX] C45 E8870 CALL WORDLED C48 B09 MOV AL,9H C4A ADFF MOV [BUFFER+1],AL C4D B064 MOV AL,64H C4F ACFF MOV [BUFFER],AL C4 C C4 E8B0 DISPLAY_DX CALL CLR_DISPLAY C46 A118FF MOV AX,[USER_DX] C49 E870 CALL WORDLED C4C B05E MOV AL,5EH C4E ADFF MOV [BUFFER+1],AL C441 B064 MOV AL,64H C44 ACFF MOV [BUFFER],AL C446 C C447 E89E0 DISPLAY_SP CALL CLR_DISPLAY C44A A110FF MOV AX,[USER_SP] C44D E85F0 CALL WORDLED C450 B06D MOV AL,6DH C45 ADFF MOV [BUFFER+1],AL C455 B07 MOV AL,7H C457 ACFF MOV [BUFFER],AL C45A C C45B E88A0 DISPLAY_BP CALL CLR_DISPLAY C45E A11AFF MOV AX,[USER_BP] C461 E84B0 CALL WORDLED C464 B07C MOV AL,7CH C466 ADFF MOV [BUFFER+1],AL C469 B07 MOV AL,7H Page 11 of 0

49 MON88B.LST 16/1/560 1: C46B ACFF C46E C C46F E8760 C47 A11CFF C475 E870 C478 B06D C47A ADFF C47D B00 C47F ACFF C48 C C48 E860 C486 A11EFF C489 E80 C48C B05E C48E ADFF C491 B00 C49 ACFF C496 C C497 E84E0 C49A A108FF C49D E80F0 C4A0 B09 C4A ADFF C4A5 B06D C4A7 ACFF C4AA C C4AB E8A0 C4AE A10AFF C4B1 E8FB01 C4B4 B05E C4B6 ADFF C4B9 B06D C4BB ACFF C4BE C C4BF E860 C4C A10EFF C4C5 E8E701 C4C8 B06D C4CA ADFF C4CD B06D C4CF ACFF C4D C C4D E810 C4D6 A10CFF C4D9 E8D01 C4DC B079 C4DE ADFF C4E1 B06D C4E ACFF C4E6 C C4E7 E8FE01 C4EA A104FF C4ED E8BF01 C4F0 B071 C4F ADFF C4F5 B08 C4F7 ACFF C4FA C MOV [BUFFER],AL DISPLAY_SI CALL CLR_DISPLAY MOV AX,[USER_SI] CALL WORDLED MOV AL,6DH MOV [BUFFER+1],AL MOV AL,0H MOV [BUFFER],AL DISPLAY_DI CALL CLR_DISPLAY MOV AX,[USER_DI] CALL WORDLED MOV AL,5EH MOV [BUFFER+1],AL MOV AL,0H MOV [BUFFER],AL DISPLAY_CS CALL CLR_DISPLAY MOV AX,[USER_CS] CALL WORDLED MOV AL,9H MOV [BUFFER+1],AL MOV AL,6DH MOV [BUFFER],AL DISPLAY_DS CALL CLR_DISPLAY MOV AX,[USER_DS] CALL WORDLED MOV AL,5EH MOV [BUFFER+1],AL MOV AL,6DH MOV [BUFFER],AL DISPLAY_SS CALL CLR_DISPLAY MOV AX,[USER_SS] CALL WORDLED MOV AL,6DH MOV [BUFFER+1],AL MOV AL,6DH MOV [BUFFER],AL DISPLAY_ES CALL CLR_DISPLAY MOV AX,[USER_ES] CALL WORDLED MOV AL,79H MOV [BUFFER+1],AL MOV AL,6DH MOV [BUFFER],AL DISPLAY_FLAG CALL CLR_DISPLAY MOV AX,[USER_FLAG] CALL WORDLED MOV AL,71H MOV [BUFFER+1],AL MOV AL,8H MOV [BUFFER],AL Page 1 of 0

50 MON88B.LST 16/1/560 1: ; ENTER DATA FIELD ; SELECT FIRST 64KB RAM WITH DATA SEGMENT REGISTER ; C4FB 8A6FFF ENTER_DATA MOV AH,[COUNTER1] C4FF 80FC00 CMP AH,0 C50 750E JNZ SHIFT_DATA C504 B401 MOV AH,1 C FFF MOV [COUNTER1],AH C50A 8B6CFF C50E B400 C C51 B104 C514 8B6CFF C518 8A1C C51A DE C51C 0AD8 C51E 881C C50 E87800 C5 C MOV SI,[CURRENTAD] MOV AH,0 MOV [SI],AH SHIFT_DATA MOV CL,4 MOV SI,[CURRENTAD] MOV BL,[SI] SAL BL,CL OR BL,AL MOV [SI],BL CALL READ_MEMORY ; ENTER ADDRESS FIELD C54 8A6FFF ENTER_ADDRESS MOV AH,[COUNTER1] C58 80FC00 CMP AH,0 C5B 750D JNZ SHIFT_ADDRESS C5D B401 MOV AH,1 C5F 886FFF MOV [COUNTER1],AH C5 BB0000 C56 891ECFF MOV BX,0 MOV [CURRENTAD],BX C5A B104 SHIFT_ADDRESS MOV CL,4 C5C 8B1ECFF MOV BX,[CURRENTAD] C540 DE SAL BX,CL C54 0AD8 OR BL,AL C ECFF MOV [CURRENTAD],BX C E06FF C54C E84C00 C54F C MOV [USER_IP],BX CALL READ_MEMORY ; ENTER ADDRESS FIELD C550 8A6FFF ENTER_DESTINATION MOV AH,[COUNTER1] C554 80FC00 CMP AH,0 C D JNZ SHIFT_ADDRESS1 C559 B401 MOV AH,1 C55B 886FFF MOV [COUNTER1],AH C55F BB0000 C56 891ECFF MOV BX,0 MOV [CURRENTAD],BX C566 B104 SHIFT_ADDRESS1 MOV CL,4 Page 1 of 0

51 MON88B.LST 16/1/560 1: C568 8B1ECFF MOV BX,[CURRENTAD] C56C DE SAL BX,CL C56E 0AD8 OR BL,AL C ECFF MOV [CURRENTAD],BX ;MOV [USER_IP],BX C574 A1CFF MOV AX,[CURRENTAD] C577 E8501 CALL WORDLED C57A A0FFF MOV AL,[BUFFER+] C57D 0C80 OR AL,80H C57F AFFF MOV [BUFFER+],AL C58 A00FF MOV AL,[BUFFER+4] C585 0C80 OR AL,80H C587 A0FF MOV [BUFFER+4],AL C58A A01FF MOV AL,[BUFFER+5] C58D 0C80 OR AL,80H C58F A1FF MOV [BUFFER+5],AL C59 A0FF MOV AL,[BUFFER+6] C595 0C80 OR AL,80H C597 AFF MOV [BUFFER+6],AL C59A C ; GET CURRENT IP C59B READ_MEMORY C59B A1CFF MOV AX,[CURRENTAD] C59E 8BF0 MOV SI,AX C5A0 8A04 MOV AL,[SI] C5A 50 PUSH AX C5A A1CFF MOV AX,[CURRENTAD] C5A6 E80601 CALL WORDLED C5A9 58 POP AX C5AA E8E700 CALL BYTELED C5AD A0EFF MOV AL,[STATE] C5B0 C00 CMP AL,0 C5B 751 JNE MODE1 C5B4 MODE0 ; DATA DISPLAY C5B4 A0FFF MOV AL,[BUFFER+] C5B7 47F AND AL,7FH C5B9 AFFF MOV [BUFFER+],AL C5BC A00FF MOV AL,[BUFFER+4] C5BF 47F AND AL,7FH C5C1 A0FF MOV [BUFFER+4],AL C5C4 A01FF MOV AL,[BUFFER+5] C5C7 47F AND AL,7FH C5C9 A1FF MOV [BUFFER+5],AL C5CC A0FF MOV AL,[BUFFER+6] C5CF 47F AND AL,7FH C5D1 AFF MOV [BUFFER+6],AL C5D4 A0CFF MOV AL,[BUFFER] C5D7 0C80 OR AL,80H Page 14 of 0

52 MON88B.LST 16/1/560 1: C5D9 ACFF C5DC A0DFF C5DF 0C80 C5E1 ADFF C5E4 C C5E5 C5E5 A0FFF C5E8 0C80 C5EA AFFF C5ED A00FF C5F0 0C80 C5F A0FF C5F5 A01FF C5F8 0C80 C5FA A1FF C5FD A0FF C600 0C80 C60 AFF C605 A0CFF C608 47F C60A ACFF C60D A0DFF C610 47F C61 ADFF C615 C MOV [BUFFER],AL MOV AL,[BUFFER+1] OR AL,80H MOV [BUFFER+1],AL MODE1 MOV AL,[BUFFER+] OR AL,80H MOV [BUFFER+],AL MOV AL,[BUFFER+4] OR AL,80H MOV [BUFFER+4],AL MOV AL,[BUFFER+5] OR AL,80H MOV [BUFFER+5],AL MOV AL,[BUFFER+6] OR AL,80H MOV [BUFFER+6],AL MOV AL,[BUFFER] AND AL,7FH MOV [BUFFER],AL MOV AL,[BUFFER+1] AND AL,7FH MOV [BUFFER+1],AL ; SCAN DISPLAY AND KEYPAD ONE CYCLE ; ENTRY: SI POINTED TO DISPLAY BUFFER ; EXIT: AH=KEY 0-5 ; AH=-1 NO KEY PRESSED C616 B00 SCAN1 MOV BL,0 C618 B701 MOV BH,1 C61A B4FF MOV AH,-1 C61C B108 C61E C61E 8AC7 C60 F6D0 C6 E601 C64 8A04 C66 E60 MOV CL,8 KCOL MOV AL,BH NOT AL OUT PORT1,AL ; WRITE DIGIT ; SEG CS ; TEST READ FROM CODE SEGMENT MOV AL,[SI] ; GET BUFFER OUT PORT,AL ; WRTE SEGEMENT C68 47F AND AL,7FH ; MASK OFF DOT IF SET C6A C06 CMP AL,6 C6C 7504 JNZ SKIP10 C6E B50 MOV CH, C60 EB0 JMP DELAY1 C6 B50A SKIP10 MOV CH,10 C64 FECD DELAY1 DEC CH C66 75FC JNZ DELAY1 C68 B000 MOV AL,0 ; TURN OFF LED C6A E60 OUT PORT,AL Page 15 of 0

53 MON88B.LST 16/1/560 1: C6C B51E MOV CH,0 C6E FECD DELAY DEC CH C640 75FC JNZ DELAY C64 B506 C644 BA0001 C647 EC ; NOW CHECK KEY PRESSED MOV CH,6 MOV DX,PORT0 IN AL,DX C648 D0D8 KROW RCR AL,1 C64A 70 JC NOKEY C64C 8AE MOV AH,BL C64E FEC NOKEY INC BL C650 FECD DEC CH C65 75F4 JNZ KROW C654 D0C7 C C657 FEC9 C659 75C C65B BA0001 ROL BH,1 INC SI DEC CL JNZ KCOL ; check serial port connection mov dx,port0 C65E EC IN al,dx ; CHECK received bit C65F A880 TEST al,80h ; TEST RXD BIT C JNZ no_serial ; skip if no serial data C66 B44 mov ah,6 ; scan code for serial data C C666 C no_serial nop ; INITIALIZE USER REGISTER C667 B80004 INIT MOV AX,0400H C66A ACFF MOV [CURRENTAD],AX C66D A06FF MOV [USER_IP],AX C670 B80000 C67 A08FF C676 A0AFF C679 A0EFF C67C A0CFF C67F AFFF C68 B800FE C685 A10FF C688 C C689 BE49CA C68C B400 C68E 0F0 C690 E C691 8A04 C69 C MOV AX,0 MOV [USER_CS],AX MOV [USER_DS],AX MOV [USER_SS],AX MOV [USER_ES],AX MOV [COUNTER1],AL ; CLEAR COUNTER1 MOV AX,USER_STACK MOV [USER_SP],AX ; CONVERT AL TO 7-SEGMENT PATTERN ; ENTRY: AL ; EXIT: AL NIBBLELED MOV SI,CONVERT MOV AH,0 ADD SI,AX SEG CS MOV AL,[SI] ; CONVERT BYTE TO 7-SEGMENT AND PUT TO DATA FIELD ; ENTRY: AL C BYTELED PUSH AX C695 40F AND AL,0FH C697 E8EFFF CALL NIBBLELED Page 16 of 0

54 MON88B.LST 16/1/560 1: C69A ACFF C69D 58 C69E D0C8 C6A0 D0C8 C6A D0C8 C6A4 D0C8 C6A6 40F C6A8 E8DEFF C6AB ADFF C6AE C MOV [BUFFER],AL POP AX ROR AL,1 ROR AL,1 ROR AL,1 ROR AL,1 AND AL,0FH CALL NIBBLELED MOV [BUFFER+1],AL ; CONVERT WORD TO 7-SEGMENT AND PUT TO ADDRESS FIELD ; ENTRY: AX C6AF 50 WORDLED PUSH AX C6B0 50 PUSH AX C6B1 40F AND AL,0FH C6B E8DFF CALL NIBBLELED C6B6 AFFF MOV [BUFFER+],AL C6B9 58 C6BA D0C8 C6BC D0C8 C6BE D0C8 C6C0 D0C8 C6C 40F C6C4 E8CFF C6C7 A0FF C6CA 58 C6CB 8AC4 C6CD 50 C6CE 40F C6D0 E8B6FF C6D A1FF C6D6 58 C6D7 D0C8 C6D9 D0C8 C6DB D0C8 C6DD D0C8 C6DF 40F C6E1 E8A5FF C6E4 AFF C6E7 C POP AX ROR AL,1 ROR AL,1 ROR AL,1 ROR AL,1 AND AL,0FH CALL NIBBLELED MOV [BUFFER+4],AL POP AX MOV AL,AH PUSH AX AND AL,0FH CALL NIBBLELED MOV [BUFFER+5],AL POP AX ROR AL,1 ROR AL,1 ROR AL,1 ROR AL,1 AND AL,0FH CALL NIBBLELED MOV [BUFFER+6],AL ; CLEAR BUFFER TO BLANK DISPLAY C6E8 BECFF CLR_DISPLAY MOV SI,BUFFER C6EB B108 MOV CL,8 C6ED B000 MOV AL,0 C6EF 8804 CLEAR MOV [SI],AL C6F1 46 INC SI C6F FEC9 DEC CL C6F4 75F9 JNZ CLEAR C6F6 C ; BEEP WHEN KEY PRESSED C6F7 50 BEEP PUSH AX C6F8 BA0001 MOV DX,PORT0 C6FB EC IN AL,DX C6FC 440 AND AL,40H C6FE 7414 JZ NO_BEEP Page 17 of 0

S6B CH SEGMENT DRIVER FOR DOT MATRIX LCD

S6B CH SEGMENT DRIVER FOR DOT MATRIX LCD 64 CH SEGMENT DRIVER FOR DOT MATRIX LCD June. 2000. Ver. 0.0 Contents in this document are subject to change without notice. No part of this document may be reproduced or transmitted in any form or by

More information

64CH SEGMENT DRIVER FOR DOT MATRIX LCD

64CH SEGMENT DRIVER FOR DOT MATRIX LCD 64CH SEGMENT DRIVER FOR DOT MATRIX LCD INTRODUCTION The (TQFP type: S6B2108) is a LCD driver LSI with 64 channel output for dot matrix liquid crystal graphic display systems. This device consists of the

More information

USER'S MANUAL. Getting started with ALEXAN ATMEL AT89C2051/AT89C4051 Training Module - 1

USER'S MANUAL. Getting started with ALEXAN ATMEL AT89C2051/AT89C4051 Training Module - 1 USER'S MANUAL Getting started with ALEXAN ATMEL AT89C05/AT89C405 Training Module - Version.0 Copyright 006 Ace Electronic Technology Inc. All Rights Reserved Alexan 05/405 TM- v..0 Page of 7 About This

More information

Reaction Game Kit MitchElectronics 2019

Reaction Game Kit MitchElectronics 2019 Reaction Game Kit MitchElectronics 2019 www.mitchelectronics.co.uk CONTENTS Schematic 3 How It Works 4 Materials 6 Construction 8 Important Information 9 Page 2 SCHEMATIC Page 3 SCHEMATIC EXPLANATION The

More information

8085 Microprocessor Kit User's Manual

8085 Microprocessor Kit User's Manual 8085 Microprocessor Kit User's Manual Rev1.0, December, 2016 Contents Overview...3 Hardware Features Software Features Getting Started.. 5 AC Adapter LED Display and Keypad RESET ADDR DATA INC DEC HOME

More information

SignalTap Plus System Analyzer

SignalTap Plus System Analyzer SignalTap Plus System Analyzer June 2000, ver. 1 Data Sheet Features Simultaneous internal programmable logic device (PLD) and external (board-level) logic analysis 32-channel external logic analyzer 166

More information

Scans and encodes up to a 64-key keyboard. DB 1 DB 2 DB 3 DB 4 DB 5 DB 6 DB 7 V SS. display information.

Scans and encodes up to a 64-key keyboard. DB 1 DB 2 DB 3 DB 4 DB 5 DB 6 DB 7 V SS. display information. Programmable Keyboard/Display Interface - 8279 A programmable keyboard and display interfacing chip. Scans and encodes up to a 64-key keyboard. Controls up to a 16-digit numerical display. Keyboard has

More information

Lab #10: Building Output Ports with the 6811

Lab #10: Building Output Ports with the 6811 1 Tiffany Q. Liu April 11, 2011 CSC 270 Lab #10 Lab #10: Building Output Ports with the 6811 Introduction The purpose of this lab was to build a 1-bit as well as a 2-bit output port with the 6811 training

More information

8080 Microprocessor Kit User's Manual

8080 Microprocessor Kit User's Manual 8080 Microprocessor Kit User's Manual Rev 1.0, December 2017 8080 MICROPROCESSOR KIT CONTENTS OVERVIEW...4 FUNCTIONAL BLOCK DIAGRAM...4 HARDWARE LAYOUT...5 KEYBOARD LAYOUT...7 HARDWARE FEATURES...9 MONITOR

More information

Chapter 18. DRAM Circuitry Discussion. Block Diagram Description. DRAM Circuitry 113

Chapter 18. DRAM Circuitry Discussion. Block Diagram Description. DRAM Circuitry 113 DRAM Circuitry 113 Chapter 18 DRAM Circuitry 18-1. Discussion In this chapter we describe and build the actual DRAM circuits in our SK68K computer. Since we have already discussed the general principles

More information

8 X 8 KEYBOARD INTERFACE (WITHOUT INTERRUPT SIGNAL)

8 X 8 KEYBOARD INTERFACE (WITHOUT INTERRUPT SIGNAL) UNIT 4 REFERENCE 1 8 X 8 KEYBOARD INTERFACE (WITHOUT INTERRUPT SIGNAL) Statement: Interface an 8 x 8 matrix keyboard to 8085 through 8279 in 2-key lockout mode and write an assembly language program to

More information

Configuring the Élan SC300 Device s Internal CGA Controller for a Specific LCD Panel

Configuring the Élan SC300 Device s Internal CGA Controller for a Specific LCD Panel Configuring the Élan SC300 Device s Internal CGA Controller for a Specific LCD Panel Application Note This application note explains how to determine if a specific LCD panel is supported by the Élan TM

More information

APPLICATION NOTE VACUUM FLUORESCENT DISPLAY MODULE

APPLICATION NOTE VACUUM FLUORESCENT DISPLAY MODULE AN-E-3237A APPLICATION NOTE VACUUM FLUORESCENT DISPLAY MODULE GRAPIC DISPLAY MODULE GP92A1A GENERAL DESCRIPTION FUTABA GP92A1A is a graphic display module using a FUTABA 128 64 VFD. Consisting of a VFD,

More information

Ocean Sensor Systems, Inc. Wave Staff, OSSI F, Water Level Sensor With 0-5V, RS232 & Alarm Outputs, 1 to 20 Meter Staff

Ocean Sensor Systems, Inc. Wave Staff, OSSI F, Water Level Sensor With 0-5V, RS232 & Alarm Outputs, 1 to 20 Meter Staff Ocean Sensor Systems, Inc. Wave Staff, OSSI-010-002F, Water Level Sensor With 0-5V, RS232 & Alarm Outputs, 1 to 20 Meter Staff General Description The OSSI-010-002E Wave Staff is a water level sensor that

More information

ECE 372 Microcontroller Design

ECE 372 Microcontroller Design E.g. Port A, Port B Used to interface with many devices Switches LEDs LCD Keypads Relays Stepper Motors Interface with digital IO requires us to connect the devices correctly and write code to interface

More information

Simple PICTIC Commands

Simple PICTIC Commands The Simple PICTIC Are you an amateur bit by the Time-Nut bug but can t afford a commercial time interval counter with sub nanosecond resolution and a GPIB interface? Did you find a universal counter on

More information

Design and Implementation of Timer, GPIO, and 7-segment Peripherals

Design and Implementation of Timer, GPIO, and 7-segment Peripherals Design and Implementation of Timer, GPIO, and 7-segment Peripherals 1 Module Overview Learn about timers, GPIO and 7-segment display; Design and implement an AHB timer, a GPIO peripheral, and a 7-segment

More information

TV Character Generator

TV Character Generator TV Character Generator TV CHARACTER GENERATOR There are many ways to show the results of a microcontroller process in a visual manner, ranging from very simple and cheap, such as lighting an LED, to much

More information

64CH SEGMENT DRIVER FOR DOT MATRIX LCD INTRODUCTION FEATURES 100 QFP-1420C

64CH SEGMENT DRIVER FOR DOT MATRIX LCD INTRODUCTION FEATURES 100 QFP-1420C INTRODUCTION The KS0108B is a LCD driver LSl with 64 channel output for dot matrix liquid crystal graphic display systems. This device consists of the display RAM, 64 bit data latch, 64 bit drivers and

More information

8085 Microprocessor Training Kit User's Manual

8085 Microprocessor Training Kit User's Manual 8085 Microprocessor Training Kit User's Manual Wichit Sirichote, wichit.sirichote@gmail.com Rev3.0, March 29, 2015 Contents Overview...3 Hardware Features Software Features Getting Started.. 4 AC Adapter

More information

Combo Board.

Combo Board. Combo Board www.matrixtsl.com EB083 Contents About This Document 2 General Information 3 Board Layout 4 Testing This Product 5 Circuit Diagram 6 Liquid Crystal Display 7 Sensors 9 Circuit Diagram 10 About

More information

Alice EduPad Board. User s Guide Version /11/2017

Alice EduPad Board. User s Guide Version /11/2017 Alice EduPad Board User s Guide Version 1.02 08/11/2017 1 Table OF Contents Chapter 1. Overview... 3 1.1 Welcome... 3 1.2 Launchpad features... 4 1.3 Alice EduPad hardware features... 4 Chapter 2. Software

More information

Memec Spartan-II LC User s Guide

Memec Spartan-II LC User s Guide Memec LC User s Guide July 21, 2003 Version 1.0 1 Table of Contents Overview... 4 LC Development Board... 4 LC Development Board Block Diagram... 6 Device... 6 Clock Generation... 7 User Interfaces...

More information

NXDN. NXDN Technical Specifications. Part 2: Conformance Test. Sub-part B: Common Air Interface Test. NXDN TS 2-B Version 1.2.

NXDN. NXDN Technical Specifications. Part 2: Conformance Test. Sub-part B: Common Air Interface Test. NXDN TS 2-B Version 1.2. NXDN NXDN Technical Specifications Part 2: Conformance Test Sub-part B: Common Air Interface Test NXDN TS 2-B Version 1.2 November 2012 NXDN Forum Contents 1. Introduction...1 2. References...1 3. Abbreviations...2

More information

A/D and D/A convertor 0(4) 24 ma DC, 16 bits

A/D and D/A convertor 0(4) 24 ma DC, 16 bits A/D and D/A convertor 0(4) 24 ma DC, 6 bits ZAT-DV The board contains independent isolated input A/D convertors for measurement of DC current signals 0(4) ma from technological convertors and sensors and

More information

16 Stage Bi-Directional LED Sequencer

16 Stage Bi-Directional LED Sequencer 16 Stage Bi-Directional LED Sequencer The bi-directional sequencer uses a 4 bit binary up/down counter (CD4516) and two "1 of 8 line decoders" (74HC138 or 74HCT138) to generate the popular "Night Rider"

More information

UNIT V 8051 Microcontroller based Systems Design

UNIT V 8051 Microcontroller based Systems Design UNIT V 8051 Microcontroller based Systems Design INTERFACING TO ALPHANUMERIC DISPLAYS Many microprocessor-controlled instruments and machines need to display letters of the alphabet and numbers. Light

More information

NT7108. Neotec Semiconductor Ltd. 新德科技股份有限公司 NT7108 LCD Driver. Copyright: NEOTEC (C)

NT7108. Neotec Semiconductor Ltd. 新德科技股份有限公司 NT7108 LCD Driver. Copyright: NEOTEC (C) Copyright: NEOTEC (C) 2002 http:// All rights reserved. No part of this publication may be reproduced, stored in a retrieval system, or transmitted in any form or by any means, electric or mechanical,

More information

DOT MATRIX PRINTER MECHANICAL CONTROL LSI FOR DP910 SERIES MODEL CBM-909PC SERIES

DOT MATRIX PRINTER MECHANICAL CONTROL LSI FOR DP910 SERIES MODEL CBM-909PC SERIES User s Manual DOT MATRIX PRINTER MECHANICAL CONTROL LSI FOR DP910 SERIES MODEL CBM-909PC SERIES Rev.1.00 Newly issued Sep.30th,2000 REVISION Rev.No. Date Content 1.00 Sep. 30, 2000 Newly issued i CONTENTS

More information

Modbus Register Tables for SITRANS RD300 & WI100

Modbus Register Tables for SITRANS RD300 & WI100 AG021414 Modbus Register Tables for SITRANS RD300 & WI100 WARNING: As is typical with most instruments, the addition of serial communications carries an inherent risk; it allows a remote operator to change

More information

1. Keyboard and Panel Switch Scanning DX7 CIRCUIT DESCRIPTION The 4 bits BO ~ B3 from the sub-cpu (6805S) are input to the decoder (40H138). The decoder output is sent to the keyboard transfer contacts

More information

Scanned and edited by Michael Holley Nov 28, 2004 Southwest Technical Products Corporation Document Circa 1976

Scanned and edited by Michael Holley Nov 28, 2004 Southwest Technical Products Corporation Document Circa 1976 GT-6144 Graphics Terminal Kit The GT-6144 Graphics Terminal Kit is a low cost graphics display unit designed to display 96 lines of 64 small rectangles per line on a standard video monitor or a slightly

More information

82C55A CHMOS PROGRAMMABLE PERIPHERAL INTERFACE

82C55A CHMOS PROGRAMMABLE PERIPHERAL INTERFACE Y Y Y Y Y 82C55A CHMOS PROGRAMMABLE PERIPHERAL INTERFACE Compatible with all Intel and Most Other Microprocessors High Speed Zero Wait State Operation with 8 MHz 8086 88 and 80186 188 24 Programmable I

More information

DIY KIT MHZ 8-DIGIT FREQUENCY METER

DIY KIT MHZ 8-DIGIT FREQUENCY METER This kit is a stand-alone frequency meter capable of measuring repetitive signals up to a frequency of 50MHz. It has two frequency ranges (15 and 50 MHz) as well as two sampling rates (0.1 and 1 second).

More information

LM16X21A Dot Matrix LCD Unit

LM16X21A Dot Matrix LCD Unit LCD Data Sheet FEATURES STC (Super Twisted igh Contrast) Yellow Green Transmissive Type Low Power Consumption Thin, Lightweight Design Permits Easy Installation in a Variety of Equipment General Purpose

More information

N3ZI Digital Dial Manual For kit with Serial LCD Rev 3.04 Aug 2012

N3ZI Digital Dial Manual For kit with Serial LCD Rev 3.04 Aug 2012 N3ZI Digital Dial Manual For kit with Serial LCD Rev 3.04 Aug 2012 Kit properly assembled and configured for Standard Serial LCD (LCD Not yet connected) Kit Components Item Qty Designator Part Color/Marking

More information

Interfacing the TLC5510 Analog-to-Digital Converter to the

Interfacing the TLC5510 Analog-to-Digital Converter to the Application Brief SLAA070 - April 2000 Interfacing the TLC5510 Analog-to-Digital Converter to the TMS320C203 DSP Perry Miller Mixed Signal Products ABSTRACT This application report is a summary of the

More information

KS0108B 64CH SEGMENT DRIVER FOR DOT MATRIX LCD INTRODUCTION 100 QFP

KS0108B 64CH SEGMENT DRIVER FOR DOT MATRIX LCD INTRODUCTION 100 QFP INTRODUCTION 100 QFP The KS0108B is a LCD driver LSl with 64 channel output for dot matrix liquid crystal graphic display system. This device consists of the display RAM, 64 bit data latch 64 bit drivers

More information

Embedded System Training Module ABLab Solutions

Embedded System Training Module ABLab Solutions Embedded System Training Module ABLab Solutions www.ablab.in Table of Contents Course Outline... 4 1. Introduction to Embedded Systems... 4 2. Overview of Basic Electronics... 4 3. Overview of Digital

More information

Design and analysis of microcontroller system using AMBA- Lite bus

Design and analysis of microcontroller system using AMBA- Lite bus Design and analysis of microcontroller system using AMBA- Lite bus Wang Hang Suan 1,*, and Asral Bahari Jambek 1 1 School of Microelectronic Engineering, Universiti Malaysia Perlis, Perlis, Malaysia Abstract.

More information

Configuring FLASHlogic Devices

Configuring FLASHlogic Devices Configuring FLASHlogic s April 995, ver. Application Note 45 Introduction The Altera FLASHlogic family of programmable logic devices (PLDs) is based on CMOS technology with SRAM configuration elements.

More information

Ocean Sensor Systems, Inc. Wave Staff III, OSSI With 0-5V & RS232 Output and A Self Grounding Coaxial Staff

Ocean Sensor Systems, Inc. Wave Staff III, OSSI With 0-5V & RS232 Output and A Self Grounding Coaxial Staff Ocean Sensor Systems, Inc. Wave Staff III, OSSI-010-008 With 0-5V & RS232 Output and A Self Grounding Coaxial Staff General Description The OSSI-010-008 Wave Staff III is a water level sensor that combines

More information

Laboratory Exercise 4

Laboratory Exercise 4 Laboratory Exercise 4 Polling and Interrupts The purpose of this exercise is to learn how to send and receive data to/from I/O devices. There are two methods used to indicate whether or not data can be

More information

Point System (for instructor and TA use only)

Point System (for instructor and TA use only) EEL 4744C - Drs. George and Gugel Spring Semester 2002 Final Exam NAME SS# Closed book and closed notes examination to be done in pencil. Calculators are permitted. All work and solutions are to be written

More information

L, LTC, LTM, LT are registered trademarks of Linear Technology Corporation. Other product

L, LTC, LTM, LT are registered trademarks of Linear Technology Corporation. Other product DESCRIPTION WARNING! Do not look directly at operating LED. This circuit produces light that can damage eyes. Demo Circuit 1265 QUICK START GUIDE LTC3220/LTC3220-1 360mA Universal 18-Channel LED Driver

More information

Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science

Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science 6.111 - Introductory Digital Systems Laboratory Project Resources Project resources are allocated on a per

More information

Spare Parts, Accessories, Consumable Material for Older Design Recorders

Spare Parts, Accessories, Consumable Material for Older Design Recorders Spare Parts, Accessories, Consumable Material for Older Design Recorders 4/2 Summary 4/2 Accessories for multipoint and line recorders SIREC 2010 4/2 Accessories for hybrid recorders VARIOGRAPH 4/2 Accessories

More information

Lab 17: Building a 4-Digit 7-Segment LED Decoder

Lab 17: Building a 4-Digit 7-Segment LED Decoder Phys2303 L.A. Bumm [Basys3 1.2.1] Lab 17 (p1) Lab 17: Building a 4-Digit 7-Segment LED Decoder In this lab you will make 5 test circuits in addition to the 4-digit 7-segment decoder. The test circuits

More information

Serial Remote Control of the RX2 SERIAL REMOTE CONTROL FOR THE RX2

Serial Remote Control of the RX2 SERIAL REMOTE CONTROL FOR THE RX2 SERIAL REMOTE CONTROL FOR THE RX2 Version 2.0 1 May 2005 RIG Updated May 2005 to support NOAA-18 Version 2.0 2 May 2005 Introduction Some years ago, Max Hadley published an article detailing how enthusiasts

More information

MUHAMMAD NAEEM LATIF MCS 3 RD SEMESTER KHANEWAL

MUHAMMAD NAEEM LATIF MCS 3 RD SEMESTER KHANEWAL 1. A stage in a shift register consists of (a) a latch (b) a flip-flop (c) a byte of storage (d) from bits of storage 2. To serially shift a byte of data into a shift register, there must be (a) one click

More information

AC182A 8 Input x 8 Output S-Video Matrix Switch with Audio

AC182A 8 Input x 8 Output S-Video Matrix Switch with Audio Heading AC180A 8 Input x 8 Output Composite Video Matrix Switch with Audio MARCH 2005 AC180A AC182A AC182A 8 Input x 8 Output S-Video Matrix Switch with Audio CUSTOMER SUPPORT INFORMATION Order toll-free

More information

OWNER S MANUAL EXTERNAL CONTROL DEVICE SETUP

OWNER S MANUAL EXTERNAL CONTROL DEVICE SETUP OWNER S MANUAL EXTERNAL CONTROL DEVICE SETUP Please read this manual carefully before operating the set and retain it for future reference. Available series EM9600 LM7600 G2 LM6200 LM3400 LS5600/5650 LM9600

More information

Tutorial Introduction

Tutorial Introduction Tutorial Introduction PURPOSE - To explain how to configure and use the in common applications OBJECTIVES: - Identify the steps to set up and configure the. - Identify techniques for maximizing the accuracy

More information

E M E - Antenna Controller System - OE5JFL. Block diagram

E M E - Antenna Controller System - OE5JFL. Block diagram E M E - Antenna Controller System - OE5JFL Block diagram 1.Controller board Page 2 of 12 Short description of the features - Computation of position (without PC!) for Moon, Sun, Cassiopeia, Cygnus, Sagittarius,

More information

Experiment # 4 Counters and Logic Analyzer

Experiment # 4 Counters and Logic Analyzer EE20L - Introduction to Digital Circuits Experiment # 4. Synopsis: Experiment # 4 Counters and Logic Analyzer In this lab we will build an up-counter and a down-counter using 74LS76A - Flip Flops. The

More information

University of Victoria. Department of Electrical and Computer Engineering. CENG 290 Digital Design I Lab Manual

University of Victoria. Department of Electrical and Computer Engineering. CENG 290 Digital Design I Lab Manual University of Victoria Department of Electrical and Computer Engineering CENG 290 Digital Design I Lab Manual INDEX Introduction to the labs Lab1: Digital Instrumentation Lab2: Basic Digital Components

More information

Evaluation Board for CS4954/55

Evaluation Board for CS4954/55 Evaluation Board for CS4954/55 Features l Demonstrates recommended layout and grounding practices l Supports both parallel and serial digital video input l On-board test pattern generation l Supports NTSC/PAL

More information

Digital Clock. Perry Andrews. A Project By. Based on the PIC16F84A Micro controller. Revision C

Digital Clock. Perry Andrews. A Project By. Based on the PIC16F84A Micro controller. Revision C Digital Clock A Project By Perry Andrews Based on the PIC16F84A Micro controller. Revision C 23 rd January 2011 Contents Contents... 2 Introduction... 2 Design and Development... 3 Construction... 7 Conclusion...

More information

successive approximation register (SAR) Q digital estimate

successive approximation register (SAR) Q digital estimate Physics 5 Lab 4 Analog / igital Conversion The goal of this lab is to construct a successive approximation analog-to-digital converter (AC). The block diagram of such a converter is shown below. CLK comparator

More information

Use with VIP2K Monitor Program Version 1.4 (vip2k14.hex) at U2, and the matching state machine file (vip-2716.hex) at U7 on the VIP2K CPU board.

Use with VIP2K Monitor Program Version 1.4 (vip2k14.hex) at U2, and the matching state machine file (vip-2716.hex) at U7 on the VIP2K CPU board. VIP2K Video Display Memory Map - by Chuck Yakym 1/3/2019 Use with VIP2K Monitor Program Version 1.4 (vip2k14.hex) at U2, and the matching state machine file (vip-2716.hex) at U7 on the VIP2K CPU board.

More information

Published in A R DIGITECH

Published in A R DIGITECH Design of propeller clock by using 8051 Microcontroller Ahmed H. Al-Saadi*1 *1 (B.Sc. of Computer Engineering in Al Hussein University College of Engineering, Iraq) ah9@outlook.com*1 Abstract The propeller

More information

HD66766 Rev. 1.0 / 30 November 2001 HD (132 x 176-dot Graphics LCD Controller/Driver for 65K Colors)

HD66766 Rev. 1.0 / 30 November 2001 HD (132 x 176-dot Graphics LCD Controller/Driver for 65K Colors) HD66766 Rev.. / 3 November 2 HD66766 (32 x 76-dot Graphics LCD Controller/Driver for 65K Colors) Rev.. November, 2 Description The HD66766, color-graphics LCD controller and driver LSI, displays 32-by-76-dot

More information

M66004SP/FP M66004SP/FP MITSUBISHI DIGITAL ASSP ASSP 16-DIGIT 5X7-SEGMENT VFD CONTROLLER 16-DIGIT 5 7-SEGMENT VFD CONTROLLER

M66004SP/FP M66004SP/FP MITSUBISHI DIGITAL ASSP ASSP 16-DIGIT 5X7-SEGMENT VFD CONTROLLER 16-DIGIT 5 7-SEGMENT VFD CONTROLLER ASSP M664SP/FP M664SP/FP 6-DIGIT 5X7-SEGMENT FD CONTROLLER 6-DIGIT 5 7-SEGMENT FD CONTROLLER DESCRIPTION The M664 is a 6-digit 5 7-segment vacuum fluorescent display (FD) controller using the silicon gate

More information

Bill of Materials: Super Simple Water Level Control PART NO

Bill of Materials: Super Simple Water Level Control PART NO Super Simple Water Level Control PART NO. 2169109 Design a simple water controller in which electrodes are required to sense high and low water levels in a tank. Whenever the water level falls below the

More information

Alice EduPad for Tiva or MSP432 TI ARM Launchpad. User s Guide Version /23/2017

Alice EduPad for Tiva or MSP432 TI ARM Launchpad. User s Guide Version /23/2017 Alice EduPad for Tiva or MSP432 TI ARM Launchpad User s Guide Version 1.02 08/23/2017 1 Table OF Contents Chapter 1. Overview... 3 1.1 Welcome... 3 1.2 Tiva Launchpad features... 4 1.3 Alice EduPad hardware

More information

LAB #6 State Machine, Decoder, Buffer/Driver and Seven Segment Display

LAB #6 State Machine, Decoder, Buffer/Driver and Seven Segment Display LAB #6 State Machine, Decoder, Buffer/Driver and Seven Segment Display LAB OBJECTIVES 1. Design a more complex state machine 2. Design a larger combination logic solution on a PLD 3. Integrate two designs

More information

APPLICATION NOTE 4312 Getting Started with DeepCover Secure Microcontroller (MAXQ1850) EV KIT and the CrossWorks Compiler for the MAXQ30

APPLICATION NOTE 4312 Getting Started with DeepCover Secure Microcontroller (MAXQ1850) EV KIT and the CrossWorks Compiler for the MAXQ30 Maxim > Design Support > Technical Documents > Application Notes > Microcontrollers > APP 4312 Keywords: MAXQ1850, MAXQ1103, DS5250, DS5002, microcontroller, secure microcontroller, uc, DES, 3DES, RSA,

More information

XTAL Bank DDS Version 0.02 Sept Preliminary, highly likely to contain numerous errors

XTAL Bank DDS Version 0.02 Sept Preliminary, highly likely to contain numerous errors XTAL Bank DDS Version 002 Sept 7 2012 Preliminary, highly likely to contain numerous errors The photo above shows the fully assembled Xtal Bank DDS with 2 DDS modules installed (The kit is normally only

More information

MODULAR DIGITAL ELECTRONICS TRAINING SYSTEM

MODULAR DIGITAL ELECTRONICS TRAINING SYSTEM MODULAR DIGITAL ELECTRONICS TRAINING SYSTEM MDETS UCTECH's Modular Digital Electronics Training System is a modular course covering the fundamentals, concepts, theory and applications of digital electronics.

More information

AN2415 Application note

AN2415 Application note AN Application note Using the output detection feature of the high-brightness LED driver STP0CDC evaluation board Introduction Note: This document describes how to implement a complete solution for driving

More information

DDS VFO CONSTRUCTION MANUAL. DDS VFO Construction Manual Issue 1.1 Page 1

DDS VFO CONSTRUCTION MANUAL. DDS VFO Construction Manual Issue 1.1 Page 1 DDS VFO CONSTRUCTION MANUAL DDS VFO Construction Manual Issue 1.1 Page 1 Important Please read before starting assembly STATIC PRECAUTION The DDS VFO kit contains the following components which can be

More information

ECE 2274 Pre-Lab for Experiment Timer Chip

ECE 2274 Pre-Lab for Experiment Timer Chip ECE 2274 Pre-Lab for Experiment 6 555 Timer Chip Introduction to the 555 Timer The 555 IC is a popular chip for acting as multivibrators. Go to the web to obtain a data sheet to be turn-in with the pre-lab.

More information

8.1 INTRODUCTION... VIII OVERVIEW... VIII-1

8.1 INTRODUCTION... VIII OVERVIEW... VIII-1 VIII THEORY OF OPERATION 8.1 INTRODUCTION................... VIII-1 8.2 OVERVIEW..................... VIII-1 8.3 BLOCK DIAGRAM ANALYSIS, Sol-PC.......... VIII-3 8.3.1 Functional Elements And Their Relationships

More information

Laboratory 1 - Introduction to Digital Electronics and Lab Equipment (Logic Analyzers, Digital Oscilloscope, and FPGA-based Labkit)

Laboratory 1 - Introduction to Digital Electronics and Lab Equipment (Logic Analyzers, Digital Oscilloscope, and FPGA-based Labkit) Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science 6. - Introductory Digital Systems Laboratory (Spring 006) Laboratory - Introduction to Digital Electronics

More information

DIGITAL SYSTEM FUNDAMENTALS (ECE421) DIGITAL ELECTRONICS FUNDAMENTAL (ECE422) COUNTERS

DIGITAL SYSTEM FUNDAMENTALS (ECE421) DIGITAL ELECTRONICS FUNDAMENTAL (ECE422) COUNTERS COURSE / CODE DIGITAL SYSTEM FUNDAMENTALS (ECE421) DIGITAL ELECTRONICS FUNDAMENTAL (ECE422) COUNTERS One common requirement in digital circuits is counting, both forward and backward. Digital clocks and

More information

EE 367 Lab Part 1: Sequential Logic

EE 367 Lab Part 1: Sequential Logic EE367: Introduction to Microprocessors Section 1.0 EE 367 Lab Part 1: Sequential Logic Contents 1 Preface 1 1.1 Things you need to do before arriving in the Laboratory............... 2 1.2 Summary of material

More information

Thiscontrolerdatasheetwasdownloadedfrom htp:/ SSD1331. Advance Information

Thiscontrolerdatasheetwasdownloadedfrom htp:/  SSD1331. Advance Information SOLOMON SYSTECH SEMICONDUCTOR TECHNICAL DATA Crystalfontz Thiscontrolerdatasheetwasdownloadedfrom htp:/www.crystalfontz.com/controlers/ SSD1331 Advance Information 96RGB x 64 Dot Matrix OLED/PLED Segment/Common

More information

Evaluation Board For ADF Integrated VCO & Frequency Synthesizer

Evaluation Board For ADF Integrated VCO & Frequency Synthesizer a Evaluation Board For ADF4360-1 Integrated VCO & Frequency Synthesizer EVAL-ADF4360-1EB1 FEATURES Self-Contained Board for generating RF frequencies Flexibility for Reference Input, Output frequency,

More information

N3ZI Digital Dial Manual For kit with Backlit LCD Rev 4.00 Jan 2013 PCB

N3ZI Digital Dial Manual For kit with Backlit LCD Rev 4.00 Jan 2013 PCB N3ZI Digital Dial Manual For kit with Backlit LCD Rev 4.00 Jan 2013 PCB Kit Components Item Qty Designator Part Color/Marking PCB 1 LCD Display 1 LCD 1602 Volt Regulator 1 U1 78L05, Black TO-92 Prescaler

More information

Pulse Concentrator User Manual EPC-12

Pulse Concentrator User Manual EPC-12 WARNING Ignoring the instructions in this manual may result in serious injuries or death. Disconnect all power supply inputs before connecting the device. Do not remove the front panel when device is connected

More information

Today 3/8/11 Lecture 8 Sequential Logic, Clocks, and Displays

Today 3/8/11 Lecture 8 Sequential Logic, Clocks, and Displays Today 3/8/ Lecture 8 Sequential Logic, Clocks, and Displays Flip Flops and Ripple Counters One Shots and Timers LED Displays, Decoders, and Drivers Homework XXXX Reading H&H sections on sequential logic

More information

Kramer Electronics, Ltd. USER MANUAL. Model: VS x 1 Sequential Video Audio Switcher

Kramer Electronics, Ltd. USER MANUAL. Model: VS x 1 Sequential Video Audio Switcher Kramer Electronics, Ltd. USER MANUAL Model: VS-120 20 x 1 Sequential Video Audio Switcher Contents Contents 1 Introduction 1 2 Getting Started 1 2.1 Quick Start 2 3 Overview 3 4 Installing the VS-120 in

More information

Vorne Industries. 2000B Series Buffered Display Users Manual Industrial Drive Itasca, IL (630) Telefax (630)

Vorne Industries. 2000B Series Buffered Display Users Manual Industrial Drive Itasca, IL (630) Telefax (630) Vorne Industries 2000B Series Buffered Display Users Manual 1445 Industrial Drive Itasca, IL 60141849 (60) 875600 elefax (60) 875609 Page 2 2000B Series Buffered Display 2000B Series Buffered Display Release

More information

Tube Cricket Build Guide

Tube Cricket Build Guide Tube Cricket Build Guide The Tube Cricket is a small-wattage amp that puts out about 1 watt of audio power. With a 12AU7 tube-preamp and a JRC386 power amp, the Tube Cricket gives you great tone in a compact

More information

Tutorial Introduction

Tutorial Introduction Tutorial Introduction PURPOSE - To explain how to configure and use the Timebase Module OBJECTIVES: - Describe the uses and features of the Timebase Module. - Identify the steps to configure the Timebase

More information

Lab #11: Building a 1-Bit Input I/O Controller

Lab #11: Building a 1-Bit Input I/O Controller 1 Tiffany Q. Liu April 18, 2011 CSC 270 Lab #11 Lab #11: Building a 1-Bit Input I/O Controller Introduction For this lab, we worked towards building a circuit with the 6811 kit that acts as a 1-bit input

More information

Entry Level Tool II. Reference Manual. System Level Solutions, Inc. (USA) Murphy Avenue San Martin, CA (408) Version : 1.0.

Entry Level Tool II. Reference Manual. System Level Solutions, Inc. (USA) Murphy Avenue San Martin, CA (408) Version : 1.0. Entry Level Tool II Reference Manual, Inc. (USA) 14100 Murphy Avenue San Martin, CA 95046 (408) 852-0067 http://www.slscorp.com Version : 1.0.3 Date : October 7, 2005 Copyright 2005-2006,, Inc. (SLS) All

More information

Vorne Industries. 87/719 Analog Input Module User's Manual Industrial Drive Itasca, IL (630) Telefax (630)

Vorne Industries. 87/719 Analog Input Module User's Manual Industrial Drive Itasca, IL (630) Telefax (630) Vorne Industries 87/719 Analog Input Module User's Manual 1445 Industrial Drive Itasca, IL 60143-1849 (630) 875-3600 Telefax (630) 875-3609 . 3 Chapter 1 Introduction... 1.1 Accessing Wiring Connections

More information

7 SEGMENT LED DISPLAY KIT

7 SEGMENT LED DISPLAY KIT ESSENTIAL INFORMATION BUILD INSTRUCTIONS CHECKING YOUR PCB & FAULT-FINDING MECHANICAL DETAILS HOW THE KIT WORKS CREATE YOUR OWN SCORE BOARD WITH THIS 7 SEGMENT LED DISPLAY KIT Version 2.0 Which pages of

More information

Thiscontrolerdatasheetwasdownloadedfrom htp:/ HD66750S

Thiscontrolerdatasheetwasdownloadedfrom htp:/  HD66750S Crystalfontz Thiscontrolerdatasheetwasdownloadedfrom htp:/www.crystalfontz.com/controlers/ Preliminary HD66750S (128 x 128-dot Graphics LCD Controller/Driver with Four-grayscale Functions) Rev 0.1 November

More information

EPC-12 User Manual.

EPC-12 User Manual. EPC-12 User Manual www.entes.com.tr PULSE CONCENTRATOR User Manual EPC-12 WARNING Ignoring the instructions in this manual may result in serious injuries or death. Disconnect all power supply inputs before

More information

ET-REMOTE DISTANCE. Manual of ET-REMOTE DISTANCE

ET-REMOTE DISTANCE. Manual of ET-REMOTE DISTANCE ET-REMOTE DISTANCE ET-REMOTE DISTANCE is Distance Measurement Module by Ultrasonic Waves; it consists of 2 important parts. Firstly, it is the part of Board Ultrasonic (HC-SR04) that includes sender and

More information

MODEL 2873 Chassis with RS422 CLOCK RECOVERY Module, IOCRM4

MODEL 2873 Chassis with RS422 CLOCK RECOVERY Module, IOCRM4 MODEL 2873 Chassis with RS422 CLOCK RECOVERY Module, IOCRM4 FEATURES o Clock Recovery from Data Only o RS422 Nominal Input o RS422 Data and Clock outputs o Bit Rate from 1 kbps to 20 Mbps NRZ 1 kbps to

More information

C8188 C8000 1/10. digital audio modular processing system. 4 Channel AES/EBU I/O. features. block diagram. 4 balanced AES inputs

C8188 C8000 1/10. digital audio modular processing system. 4 Channel AES/EBU I/O. features. block diagram. 4 balanced AES inputs features 4 balanced AES inputs Input Sample Rate Converters (SRC) 4 balanced AES outputs Relay bypass for pairs of I/Os Relay wait time after power up Master mode (clock master for the frame) 25pin Sub-D,

More information

V6118 EM MICROELECTRONIC - MARIN SA. 2, 4 and 8 Mutiplex LCD Driver

V6118 EM MICROELECTRONIC - MARIN SA. 2, 4 and 8 Mutiplex LCD Driver EM MICROELECTRONIC - MARIN SA 2, 4 and 8 Mutiplex LCD Driver Description The is a universal low multiplex LCD driver. The version 2 drives two ways multiplex (two blackplanes) LCD, the version 4, four

More information

Laboratory 4. Figure 1: Serdes Transceiver

Laboratory 4. Figure 1: Serdes Transceiver Laboratory 4 The purpose of this laboratory exercise is to design a digital Serdes In the first part of the lab, you will design all the required subblocks for the digital Serdes and simulate them In part

More information

Model 6010 Four Channel 20-Bit Audio ADC Data Pack

Model 6010 Four Channel 20-Bit Audio ADC Data Pack Model 6010 Four Channel 20-Bit Audio ADC Data Pack Revision 3.1 SW v1.0.0 This data pack provides detailed installation, configuration and operation information for the Model 6010 Four Channel 20-bit Audio

More information

Open book/open notes, 90-minutes. Calculators permitted. Do not write on the back side of any pages.

Open book/open notes, 90-minutes. Calculators permitted. Do not write on the back side of any pages. EEL37 Dr. Gugel Spring 26 Exam II Last Name First Open book/open notes, 9-minutes. Calculators permitted. Do not write on the back side of any pages. Page ) points Page 2) 22 points Page 3) 28 points Page

More information

DOGM GRAPHIC SERIES 132x32 DOTS

DOGM GRAPHIC SERIES 132x32 DOTS DOGM GRAPHIC SERIES 132x32 DOTS 6.2009 flexible display content! EA DOGM132B-5 + EA LED55x31-W TECHNICAL DATA EA DOGM132W-5 + EA LED55x31-A EA DOGM132W-6 + EA LED55x31-W * HIGH-CONTRAST LCD SUPERTWIST

More information

A MISSILE INSTRUMENTATION ENCODER

A MISSILE INSTRUMENTATION ENCODER A MISSILE INSTRUMENTATION ENCODER Item Type text; Proceedings Authors CONN, RAYMOND; BREEDLOVE, PHILLIP Publisher International Foundation for Telemetering Journal International Telemetering Conference

More information