Clock Branch Shearing Flip Flop Based on Signal Feed Through Technique

Size: px
Start display at page:

Download "Clock Branch Shearing Flip Flop Based on Signal Feed Through Technique"

Transcription

1 Clock Branch Shearing Flip Flop Based on Signal Feed Through Technique Pragati Gupta 1, Dr. Rajesh Mehra 2 M.E. Scholar 1, Associate Professor Department of Electronic and Communication Engineering NITTTR, Chandigarh ABSTRACT: Flip-flops are used to store state information in all types of controlling units of integrated circuits. The efficiency of synchronous circuits depends on the performance of flip-flop. To calculate the performance of flip-flop power and delay is the two most important factors. To reduce the power consumption and delay of flip -flop, signal feed through scheme is used in this paper. As in this scheme signal is directly driven the internal node of the latch, so the speed of the circuit is improved. In this paper the designing of explicit type pulse trigger flip-flop on CMOS 90nm technology is proposed. Double edge triggering is also used in this paper to improve power and delay. When compared to the existing design this paper gives improved delay, so the speed of the circuit is also improved. KEY WORDS: Flip-flop, Low power electronics, power dissipation and VLSI I. INTRODUCTION Flip-flop used as the basic storage element and a store State information. Flip-flop is digital electronic circuit to store a logical state of one input signal and more data input signals in comeback to a clock pulse. Periodic signals are used generally in the design which is used to produce sustained data and for a specified time period and also used to receive the data. Also used for the other circuits which process the data in another form. As technology is moving towards in reduction of the size of the device, the most important need is the need for low power, most of the part of circuit power is consumed by the clock distribution network. Another important thing is the speed of the circuit, if the supply voltage is reduced, then the speed of the circuit to produce the output is reduced because input voltage is decreased. The optimum value of supply voltage depends upon the threshold voltage of the network, and that threshold level is decided by the transistor either of NMOS or PMOS, that decide the optimum energy delay product. According to the Moore s law the number Of transistors that are to be integrated on a single die just doubled approximately for every 18 months and that proven that space of the design or can say the area is also an important concern. Due to the advancement in technology integration and low power for CMOS is the most important factor for advancement. The developments in the arena of CMOS expertise, have encouraged an unceasing growth in the concreteness of combination in the frequency of the VLSI ICs. As advancement occurs, leading towards lower power small scale area and high speed ICs. These challenging concerns are mainly due to the excessive switching activity. In VLSI system, clock system is the most power consuming element which consists a distribution network called clock and an element of timing are called flip-flop or latches [1-2]. It consist 35% to 65% of the system. As the power consumed by the timing element is reduced, then the total system power is also affected and reduced the overall power consumed by the system. In order to do the reduction in power most important factor is voltage scaling. It is helpful to reduce the consumed power. As power is proportional to the square of the voltage of the network. However, Threshold voltage is related to the voltage scaling, cause to change or increase in the leakage power. In addition supply voltage scaling, double edge triggering is used in comparison to the single edge to reduce half power of the network. The Power of the clocking system is equal to power of the clock distribution network plus the power of flipflop. Cutting the frequency of the clock by one half will halve the power consumption on the clock distribution network [2]. Different techniques are available to implement double edge triggering in flip-flop. In general terms, it is divided mainly in two categories. The first category is to connect or add extra circuitry to produce an internal pulse signal on each clock cycle. The second way is to identify the path to trigger the flip-flop to sample data on every clock pulse [3]. Double edge trigger flip-flop is able to generate the same output, at half of the frequency. The double edge trigger flip-flop gives lesser delay in comparison to single edge trigger flip-flop, so gives a benefit in terms of speed. So it is used in high speed applications. As this type of flip-flops numbers of ISSN: Page 123

2 transistors are reduced and help in reducing the power consumption of the network as well as delay. This design has also reduced the area as number of clocks transistors is reduced. The two CMOS transmission gates are realizing the multiplexer circuit, so this MUX structure is used in the design of CMOS double edge trigger flip-flop. Two inverters sections are used in feedback path that restore the output level of the latch. In this paper design of double edge trigger flip-flop is proposed that reduces the power consumed by the circuit as well as the delay in the circuit exists. Fig.2. General Scheme of ExplicitDEFF [1] Fig.1. General Scheme of dual edge flip flop[1] In vision that many of double-edge flip-flops (DEFF) are established from the single-edge designs (SE), A brief survey of single edge trigger topology are considered now. There is an extensive collection of flip-flops in the literature [4]. Many microprocessors are used master slave flip-flop or pulse triggered flipflop [5]. Conventional single edge trigger flip-flop use or can say made by master slave flip-flop used two stages one for master another one for slaves. Another type of single edge trigger flip-flop named sense amplifier based flip-flop called SAFF [6]. All these types of flip-flops are the hard edge type flipflops are generally characterized as or having large delays at the output and having positive set-up time. Instead, using this master -slave flip-flop pulse triggered flip-flops are used that reduce two stages into one and are characterized by the soft edge property. Pulse triggered flip-flops are classified into two categories: one is implicit pulse-triggered flipflops [7] and the explicit pulse-triggered flip-flops [8, 9]. Explicit type pulse trigger flip-flops (ep-ff) and implicit type pulse trigger flip-flops (IP-FF) both are having different features and properties. First type ep-ff in which the pulse-generator shared among neighboring flip-flops The sharing of pulse generator will help in power reduction as helps in distributing the power among many of the explicit type flip-flops. Pulse generators are being shared in the Itanium type processor. Secondly, explicit type flip-flop has better performance as in this case the height of NMOS transistor stack is less than the implicit type flip-flop. However, one limitation with explicit type flip-flop that these FF can not be used with dynamic logic. This paper is organized in the format as Section II D- flip-flop design with explicit scheme. Section III presents DEFF simulations, and Section IV presents result analysis and Section V concludes the paper. According to the survey the DEFF categorize into three groups: conventional type DEFF, explicit type pulse DEFF, and implicit type DEFF. For these three categories, analysis is done for data latching scheme and a clock pulse generating scheme.the requirement of the number of transistors is reduced in single edge trigger flip-flop in comparison to double edge trigger flip-flop, but the double edge trigger flip-flop design is better in terms of power required to clock load is less.. The DEFF Design should aim at saving energy both on the clock distribution networksand flipflops. It is desirable to diminishcircuit'sclock loads by decreasing the quantity of clocks transistors [1]. Besides, circuits with compact switching action would be desirable. As the voltage and power are related to each other, so to reduce in the power circuit operated at low swing means at low voltage.this specifies that flip-flops with smoothalteringcapability could be used in such conditions. So, The design, integration of level shifter is easy to design with flipflops [10]. ISSN: Page 124

3 II. EXPLICIT FLIP-FLOP In this brief a novel low-power Pulse trigger D flipflop design basedon a signal feed-through scheme is presented. All design used to previously have delay to latch the data in obtaining output. This delay discrepancy can overcome by using a signal feed through a scheme in which data feed directly to the internal node of the structure of the latch can helpful to reduce the delay [11]. To enable this mechanism pass transistors are used in the design. For signal driving Pulse generation circuit is combined with the pass transistor logic.this will helpful to enhanced speed and power-delay-product(pdp) performances.the working of latch and flip flops are similar,only difference is that clock pulses are used in case of flip-flop, as here in double edge trigger flipflop the output of the flip-flop is change on rising and falling edge of clockpin and delays it by oneclock cycle. So it is referred to as a Delay flip-flop. The Delay Flip-Flop can be interpreted as a delay line orzero order hold. One of the major advantages of D flip-flop from the d-type transparent latch is that when clock pulses are applied than the input pin is captured and changes in the output occur after next pulse, till that no change occur.d flip-flop is of two types edge triggered and level triggered,in edge triggered output changes on rising or falling edge of clock, But in the case of level-clocked, the output can change when the clock is high or low. In edge triggering the change in output occur on instant during the clock cycle. In case of level triggering output can change onan entire half cycle ofthe clock pulse. This operation is explained in figure 3. The basic structure or description of DFF and itstiming diagram responses are explained below [12]. Fig. 3 Timing diagram of D flip-flop The conventional type of Flip flop can say are the very basic design of Delay Flip-Flop. Latches which is used conventionally are called level-sensitive or level triggered because in thisoutput follows their inputs as long as they are enabled. Whenever enable signal is there, then latches are transparent. Another one already explained above is edge triggered in which output change on rising edge or falling edge of clock pulses. For enabling the signal clock pulses are used that is the controlling signal for the output. Thus, all changesare occurringin a synchronized way to the rising edge or falling edge of the clock pulses [13, 14]. A serious pair of latches is used in edge triggering. In master and slave laches when clk = 1 the slave latch is enabled, then output from the master latch is transferred to slave latch and in case when clk = 0 then the master latch is enabled and output follow the input.the slave latch is enabled, all the while that Clk =1, but its contentedalterations only at the beginning of the cycle, that is, only at the rising edge of the signal because onceclk is 1, the master latch is disabled and so the input to the slave latch will not change, As in explicit type P-FF pulse generator are separate from the design,but this design better in terms of delay But is talk about, implicit type P-FFs, they gives more power advantage. Nevertheless, the Longer discharging pathoccurs in these circuits, so that characteristics of them are low-grade [15, 16]. This type of logic separation provides better speed that occur from latch design FF. If the shearing of the pulse generator is used that is the input source, then the power consumption and complexity of the circuit can be exceptionally reduced. III. PROPOSED DESIGN SIMULATION In Explicit pulse triggered flip-flop, the external pulse is generated. In these types of flip-flops pulse generator logic is shared among its nearest flipflops.several of the methods like explicit pulse data close- to- output called EP-DCO, static conditional flip-flop called S-CDFF are deliberated in this brief. This division can aid in allocating the power of the pulse generator across several Explicit pulses triggered flip-flop. In terms of energy efficiency explicit pulse trigger flip-flop are better in comparison to implicit pulse trigger flip-flop.double- Edge Triggering (DET) has been realized in the explicit pulse triggered flip-flops, but it is hard to organize in implicit pulse triggered flip-flop.the datais latched or sampling is issued at both raising and falling edges of Double edge triggering. DET used to save energy both in clock distribution network and also in flip flops. Double edge trigger circuit reduces the frequency to half, but still gives same throughput[8]. Firstly the pulse generator circuit is implemented to generate pulse at both rising and falling edges of ISSN: Page 125

4 clock. The circuit consists 5 inverter circuits and 2 NMOS transistors, So total 12 transistors are used in this circuitry, The whole simulation is done on Cadence tool. Specifications for the input supply are Period 80 NS, delay 0s, rise time 20ps, full time 20ps and pulse width is 40 NS. By using these parametercircuits is simulated on selected W/L ratio. is used with pulse width specification of 120 ps. The sizing of transistors also considered that the pulse generators can workproperly in all process respects. When considering the latchstructures, each pulse triggered flip-flop is optimized to obtain suitable performances. Input signals are generated with the help of buffer to maintain the performance. As this design use signal fed through technique so input signal feed directly to the latch so direct output driving is employed. 20 ff capacitor is used here at the output with an extra loading capacitance of 3 ff which is placed at the output side of buffer. The simulation is done at 1.0 V and frequency of the operation is 500MHz to obtain deterministic pattern. Fig.4 Double edge trigger pulse generator The output waveform for the given double edge trigger pulse generator circuit are shown below in figure no. 2, shows that output pulse are obtained at both rising and falling edges of the clock pulse and frequency are taking from this is 500MHz at 1 V supply. This double edge trigger pulse generator circuit is used as input to the flip-flop circuitry and share among many of flip-flop. In fact, the dual-edge triggered flip-flop involves lower clock frequency than the single-edge triggered flip-flop and to accomplish analogous performance. At the half of clock frequency the dual edge triggered flip flop gives the same data output of single edge-trigger flipflop gives, So the proposed design gives power economical circuit. Fig.6 Schematic of proposed flip-flop Fig.7 Layout of proposed flip-flop Fig. 5 Double Edge Pulse Generator Transient Response The technology used in this paper is the 90-NM CMOS process. Main cruible factor in the designing is the width of pulses which are responsible for capturing the data controlling the power consumed by the network [10,13], as here separate pulse generator The proposed design have not low count in terms of transistors, but the layout occupies the small area which shown in the layout design. As a signal feed through technique used here that reduces the transistor sizing and helps in reducing the discharging path. ISSN: Page 126

5 IV. RESULT ANALYSIS Table 1 displays the parameters that decide the performance of double edge trigger explicit type flipflop. This table determines how much power and delay is required for the circuit. Table 1: Parameters Table Parameters Design [6] Proposed Design Power 13.0 µw 4.72 µw Technology 180nm 90nm No. of Transistors Delay 179 ps 68.7ps Optimal PDP 2.33fJ 0.325fJ Fig.8 Transfer curve of proposed flip-flop Transfer characteristics are plotted by setting input pulses. Firstly is rising and falling edges are decided at 20ps and delay at 0. Pulse width selected according to the input voltage and sources of input, it also depends on circuit specification. So the pulse width is 80 ns and period is 40 ns. DC characteristics are shown on the design which is necessary for the power calculation Power (uw) Delay (ps) Fig. 9 DC Characteristics of Proposed flip-flop Meanwhile the proposed design which adopts signal feed-through technique requires driving the input signal or data at the internal node to feed directly at the output node of the structure. Fig. 10 Comparison Chart of power The table shows the comparison of proposed design result with the design [6]. Both the design are double edge triggered flip-flop. Power and delay factor are examined by setting pulse width factors like rise time, fall time and period of the pulse. In this brief, the set-up time is measured as the optimal timing (with respect to the clock edge) of applying input data to minimize the production of power and D-to-Q delay. Power calculated of the proposed design is µw and delay occur in the Pico second range. As the value of delay in the Pico second range, so the Dto Q delay is reduced in this proposed design from the existing design. In other words, its choice is based on the optimization of PDP from D to Q instead of the D-to-Q delay alone. The proposed design gives ISSN: Page 127

6 63.69 % reduction in power and 61.6% reduction in delay. V. CONCLUSION In this paper, double edge trigger flip-flop is designed, which is classified into three categories first is conventional flip flop design in which latching component is duplicated, second implicit type and last is explicit type double edge trigger flipflop design. The proposed design of this paper is explicit type pulse trigger flip-flop,which is used to save power and delay of the circuit. In proposing explicit type flip-flop design requires more transistors from implicit type pulse trigger flip flop, but it gives power of µw and delay of 68.77ps. As in this design D-Q delay is reduced to 61.6% of the existing design,so the speed of the circuit is improved. Hence this design is suitable in high performance,high speed environment. REFERENCES [1] B. Kong, S. Kim, and Y. Jun, Conditional-capture flip-flop for statistical power reduction, IEEE J. Solid-state Circuits, vol. 36, no. 8, pp , Aug [2] N. Weste and D. Harris, CMOS VLSI Design. Reading, MA: Addison Wesley, [3] J. Rabaey, A. Chandrakasan, and B. Nikolic, Digital Integrated Circuits, 2nd Ed. Englewood Cliffs, NJ: Prentice- Hall, [4] Vandana Choudhary, Rajesh Mehra, 2- Bit Comparator Using Different Logic Style of Full Adder, International Journal of Soft Computing and Engineering, Vol.3, pp , May [5] P. Zhao, T. Darwish, and M. Bayoumi, High-performance and low powerconditional discharge flip-flop, IEEE Trans. Very Large ScaleIntegration (VLSI) Sysemt, vol. 12, no. 5, pp , May [6] Peiyi Zhao, Jason McNeely, IEEE, Pradeep Golconda, Magdy A. Bayoumi, Robert A. Barcenas, and Weidong Kuang, Low-Power Clock Branch Sharing Double-Edge Triggered Flip-Flop, IEEE Transactions On Very Large Scale Integration (VLSI) Systems, Vol. 15, No. 3, pp , March [7] B. Nikolic, V. G. Oklobzija, V. Stojanovic, W. Jia, J. K. Chiu, and M. M. Leung, Improved sense-amplifier-based flip-flop: Design and measurements, IEEE J. Solid-state Circuits, Vol. 35, no. 6, pp , Jun [8] S. D. Naffziger, G. Colon-Bonet, T. Fischer, R. Riedlinger, T. J. Sullivan, and T. Grutkowski, The implementation of the Itanium 2 microprocessor, IEEE J. Solid-state Circuits, vol. 37, no. 11, pp , Nov [8] H. Partovi, R. Burd, U. Salim, F. Weber, L. DiGregorio, and D. Draper, Flow-through latch and edge-triggered flip-flop hybrid elements, in Proedingsc. IEEE Dig. ISSCC, 1996, pp [9] Seyed E. Esmaeili, Asim J. Al-Kahlili, And Glenn E. R. Cowan, Low-Swing Differential Conditional Capturing Flip-Flop For LC Resonant Clock Distribution Networks, IEEE Transactions On Very Large Scale Integration (VLSI) Systems, Vol. 20, No. 8, pp , August [10] Jin-Fa Lin, Low-Power Pulse-Triggered Flip-Flop Design Based on a Signal Feed Through Scheme, IEEE Transactions On Very Large Scale Integration (VLSI) Systems, Vol. 22, No. 1, pp , January [11] Tanvi Sood, Rajesh Mehra, Design a Low Power Half- Subtractor Using.90µm CMOS Technology, IOSR Journal of VLSI and Signal Processing, Vol. 2, No.3, pp , May June [12] Seyed E. Esmaeili, Asim J. Al-Kahlili, And Glenn E. R. Cowan, Low-Swing Differential Conditional Capturing Flip-Flop For LC Resonant Clock Distribution Networks, IEEE Transactions On Very Large Scale Integration (VLSI) Systems, Vol. 20, No. 8, pp , August [13] Visvesh S. Sathe, Jerry C. Kao, And Marios C. Papaef thymiou, Resonant-Clock Latch-Based Design, IEEE Journal Of Solid-State Circuits, Vol. 43, No. 4, pp , April [14] Peiyi Zhao, Tarek K. Darwish, And Magdy A. Bayoumi, High-Performance And Low-Power Conditional Discharge Flip-Flop IEEE Transactions On Very Large Scale Integration (VLSI) Systems, Vol. 12, No. 5, pp , May [15] Akhilesh Verma, Rajesh Mehra, Design and Analysis of conventional and Ratioed CMOS Logic, IOSR Journal of VLSI and Signal Processing,Vol. 2,Issue 2, pp ,2013. [16] Upwinder Kaur, Rajesh Mehra, Low Power CMOS Counter using Clock GatedFlip-flop, International Journal of Engineering and Advanced Technology,Vol. 2, Issue 4,2013. [17] Xiaowen Wang, And William H. Robinson, A Low-Power Double Edge-Triggered Flip-Flop with Transmission Gates and Clock Gating, IEEE International Midwest symposium on circuits and systems, pp , August AUTHORS Pragati Gupta received the Bachelors of Technology degree in Electronics and Communication Engineering from Moradabad Institute of Technology, UPTU, Moradabad, India in 2010, and she is pursuing Masters of Engineering degree in Electronics and Communication Engineering from National Institute of Technical Teachers Training & Research, Panjab University, Chandigarh, India. She is an Assistant Professor with the Department of Electronics & Communication Engineering, Moradabad Institute of Technology, Moradabad, India. Her current research and teaching interests are in Digital electronics and VLSI. Dr. Rajesh Mehra: Dr. Mehra is currently associated with Electronics and Communication Engineering Department of National Institute of Technical Teachers Training & Research, Chandigarh, India since He has earned his Doctor of Philosophy in Engineering & Technology and Master of Engineering from Panjab University, Chandigarh, India. He has completed his Bachelor of Technology from NIT, Jalandhar, India. Dr. Mehra has 20 years of academic and research experience. He has more than 350 papers to his credit, which are published in refereed International Journals and Conferences. Dr. Mehra has guided 80 ME thesis and he is also guiding 02 PhD scholars. He has also authored one book on PLC & SCADA and developed 06 video films in VLSI area. His Research areas are Advanced Digital Signal Processing, VLSI Design, FPGA System Design, Embedded System Design, and Wireless & Mobile Communication. Dr. Mehra is member of IEEE and ISTE. ISSN: Page 128

A Low-Power CMOS Flip-Flop for High Performance Processors

A Low-Power CMOS Flip-Flop for High Performance Processors A Low-Power CMOS Flip-Flop for High Performance Processors Preetisudha Meher, Kamala Kanta Mahapatra Dept. of Electronics and Telecommunication National Institute of Technology Rourkela, India Preetisudha1@gmail.com,

More information

Power Analysis of Double Edge Triggered Flip-Flop using Signal Feed-Through Technique

Power Analysis of Double Edge Triggered Flip-Flop using Signal Feed-Through Technique Power Analysis of Double Edge Triggered Flip-Flop using Signal Feed-Through Technique Pragati Gupta 1, Dr. Rajesh Mehra 2 M.E. Scholar 1, Associate Professor 2 Department of Electronic and Communication

More information

A Power Efficient Flip Flop by using 90nm Technology

A Power Efficient Flip Flop by using 90nm Technology A Power Efficient Flip Flop by using 90nm Technology Mrs. Y. Lavanya Associate Professor, ECE Department, Ramachandra College of Engineering, Eluru, W.G (Dt.), A.P, India. Email: lavanya.rcee@gmail.com

More information

PERFORMANCE ANALYSIS OF AN EFFICIENT PULSE-TRIGGERED FLIP FLOPS FOR ULTRA LOW POWER APPLICATIONS

PERFORMANCE ANALYSIS OF AN EFFICIENT PULSE-TRIGGERED FLIP FLOPS FOR ULTRA LOW POWER APPLICATIONS Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology ISSN 2320 088X IMPACT FACTOR: 5.258 IJCSMC,

More information

Power Optimization Techniques for Sequential Elements Using Pulse Triggered Flip-Flops with SVL Logic

Power Optimization Techniques for Sequential Elements Using Pulse Triggered Flip-Flops with SVL Logic IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) ISSN: 2319 4200, ISBN No. : 2319 4197 Volume 1, Issue 4 (Nov. - Dec. 2012), PP 31-36 Power Optimization Techniques for Sequential Elements Using Pulse

More information

Design of a Low Power and Area Efficient Flip Flop With Embedded Logic Module

Design of a Low Power and Area Efficient Flip Flop With Embedded Logic Module IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 10, Issue 6, Ver. II (Nov - Dec.2015), PP 40-50 www.iosrjournals.org Design of a Low Power

More information

Improve Performance of Low-Power Clock Branch Sharing Double-Edge Triggered Flip-Flop

Improve Performance of Low-Power Clock Branch Sharing Double-Edge Triggered Flip-Flop Sumant Kumar et al. 2016, Volume 4 Issue 1 ISSN (Online): 2348-4098 ISSN (Print): 2395-4752 International Journal of Science, Engineering and Technology An Open Access Journal Improve Performance of Low-Power

More information

DESIGN OF DOUBLE PULSE TRIGGERED FLIP-FLOP BASED ON SIGNAL FEED THROUGH SCHEME

DESIGN OF DOUBLE PULSE TRIGGERED FLIP-FLOP BASED ON SIGNAL FEED THROUGH SCHEME Scientific Journal Impact Factor (SJIF): 1.711 e-issn: 2349-9745 p-issn: 2393-8161 International Journal of Modern Trends in Engineering and Research www.ijmter.com DESIGN OF DOUBLE PULSE TRIGGERED FLIP-FLOP

More information

Design of Low Power D-Flip Flop Using True Single Phase Clock (TSPC)

Design of Low Power D-Flip Flop Using True Single Phase Clock (TSPC) Design of Low Power D-Flip Flop Using True Single Phase Clock (TSPC) Swetha Kanchimani M.Tech (VLSI Design), Mrs.Syamala Kanchimani Associate Professor, Miss.Godugu Uma Madhuri Assistant Professor, ABSTRACT:

More information

Design a Low Power Flip-Flop Based on a Signal Feed-Through Scheme

Design a Low Power Flip-Flop Based on a Signal Feed-Through Scheme Design a Low Power Flip-Flop Based on a Signal Feed-Through Scheme Mayur D. Ghatole 1, Dr. M. A. Gaikwad 2 1 M.Tech, Electronics Department, Bapurao Deshmukh College of Engineering, Sewagram, Maharashtra,

More information

HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP

HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP 1 R.Ramya, 2 C.Hamsaveni 1,2 PG Scholar, Department of ECE, Hindusthan Institute Of Technology,

More information

An Optimized Implementation of Pulse Triggered Flip-flop Based on Single Feed-Through Scheme in FPGA Technology

An Optimized Implementation of Pulse Triggered Flip-flop Based on Single Feed-Through Scheme in FPGA Technology An Optimized Implementation of Pulse Triggered Flip-flop Based on Single Feed-Through Scheme in FPGA Technology 1 S.MANIKANTA, PG Scholar in VLSI System Design, 2 A.M. GUNA SEKHAR Assoc. Professor, HOD,

More information

International Journal of Computer Trends and Technology (IJCTT) volume 24 Number 2 June 2015

International Journal of Computer Trends and Technology (IJCTT) volume 24 Number 2 June 2015 Power and Area analysis of Flip Flop using different s Neha Thapa 1, Dr. Rajesh Mehra 2 1 ME student, Department of E.C.E, NITTTR, Chandigarh, India 2 Associate Professor, Department of E.C.E, NITTTR,

More information

Asynchronous Model of Flip-Flop s and Latches for Low Power Clocking

Asynchronous Model of Flip-Flop s and Latches for Low Power Clocking Asynchronous Model of Flip-Flop s and Latches for Low Power Clocking G.Abhinaya Raja & P.Srinivas Department Of Electronics & Comm. Engineering, Nimra College of Engineering & Technology, Ibrahimpatnam,

More information

P.Akila 1. P a g e 60

P.Akila 1. P a g e 60 Designing Clock System Using Power Optimization Techniques in Flipflop P.Akila 1 Assistant Professor-I 2 Department of Electronics and Communication Engineering PSR Rengasamy college of engineering for

More information

LOW POWER LEVEL CONVERTING FLIP-FLOP DESIGN BY USING CONDITIONAL DISCHARGE TECHNIQUE

LOW POWER LEVEL CONVERTING FLIP-FLOP DESIGN BY USING CONDITIONAL DISCHARGE TECHNIQUE LOW POWER LEVEL CONVERTING FLIP-FLOP DESIGN BY USING CONDITIONAL DISCHARGE TECHNIQUE Keerthana S Assistant Professor, Department of Electronics and Telecommunication Engineering Karpagam College of Engineering

More information

DIFFERENTIAL CONDITIONAL CAPTURING FLIP-FLOP TECHNIQUE USED FOR LOW POWER CONSUMPTION IN CLOCKING SCHEME

DIFFERENTIAL CONDITIONAL CAPTURING FLIP-FLOP TECHNIQUE USED FOR LOW POWER CONSUMPTION IN CLOCKING SCHEME DIFFERENTIAL CONDITIONAL CAPTURING FLIP-FLOP TECHNIQUE USED FOR LOW POWER CONSUMPTION IN CLOCKING SCHEME Mr.N.Vetriselvan, Assistant Professor, Dhirajlal Gandhi College of Technology Mr.P.N.Palanisamy,

More information

Low Power and Reduce Area Dual Edge Pulse Triggered Flip-Flop Based on Signal Feed-Through Scheme

Low Power and Reduce Area Dual Edge Pulse Triggered Flip-Flop Based on Signal Feed-Through Scheme Low Power and Reduce Area Dual Edge Pulse Triggered Flip-Flop Based on Signal Feed-Through Scheme Ch.Sreedhar 1, K Mariya Priyadarshini 2. Abstract: Flip-flops are the basic storage elements used extensively

More information

LOW POWER DOUBLE EDGE PULSE TRIGGERED FLIP FLOP DESIGN

LOW POWER DOUBLE EDGE PULSE TRIGGERED FLIP FLOP DESIGN INTERNATIONAL JOURNAL OF RESEARCH IN COMPUTER APPLICATIONS AND ROBOTICS ISSN 2320-7345 LOW POWER DOUBLE EDGE PULSE TRIGGERED FLIP FLOP DESIGN G.Swetha 1, T.Krishna Murthy 2 1 Student, SVEC (Autonomous),

More information

LOW POWER AND AREA-EFFICIENT SHIFT REGISTER USING PULSED LATCHES

LOW POWER AND AREA-EFFICIENT SHIFT REGISTER USING PULSED LATCHES LOW POWER AND AREA-EFFICIENT SHIFT REGISTER USING PULSED LATCHES Mr. Nat Raj M.Tech., (Ph.D) Associate Professor ECE Department ST.Mary s College Of Engineering and Technology(Formerly ASEC),Patancheru

More information

Design of Low Power and Area Efficient Pulsed Latch Based Shift Register

Design of Low Power and Area Efficient Pulsed Latch Based Shift Register Design of Low Power and Area Efficient Pulsed Latch Based Shift Register 1 ANUSHA KORE, 2 Dr. S.A.MUZEER Department of ECE Megha Institute of Engineering & Technology For women s Edulabad, Ghatkesar mandal,

More information

DESIGN AND ANALYSIS OF LOW POWER STS PULSE TRIGGERED FLIP-FLOP USING 250NM CMOS TECHNOLOGY

DESIGN AND ANALYSIS OF LOW POWER STS PULSE TRIGGERED FLIP-FLOP USING 250NM CMOS TECHNOLOGY DESIGN AND ANALYSIS OF LOW POWER STS PULSE TRIGGERED FLIP-FLOP USING 250NM CMOS TECHNOLOGY 1 M.SRINIVAS, 2 K.BABULU 1 Project Associate JNTUK, 2 Professor of ECE Dept. JNTUK Email: srinivas.mattaparti@gmail.com,

More information

I. INTRODUCTION. Figure 1: Explicit Data Close to Output

I. INTRODUCTION. Figure 1: Explicit Data Close to Output Low Power Shift Register Design Based on a Signal Feed Through Scheme 1 Mr. G Ayappan and 2 Ms.P Vinothini, 1 Assistant Professor (Senior Grade), 2 PG scholar, 1,2 Department of Electronics and Communication,

More information

Design of New Dual Edge Triggered Sense Amplifier Flip-Flop with Low Area and Power Efficient

Design of New Dual Edge Triggered Sense Amplifier Flip-Flop with Low Area and Power Efficient Design of New Dual Edge Triggered Sense Amplifier Flip-Flop with Low Area and Power Efficient Ms. Sheik Shabeena 1, R.Jyothirmai 2, P.Divya 3, P.Kusuma 4, Ch.chiranjeevi 5 1 Assistant Professor, 2,3,4,5

More information

An FPGA Implementation of Shift Register Using Pulsed Latches

An FPGA Implementation of Shift Register Using Pulsed Latches An FPGA Implementation of Shift Register Using Pulsed Latches Shiny Panimalar.S, T.Nisha Priscilla, Associate Professor, Department of ECE, MAMCET, Tiruchirappalli, India PG Scholar, Department of ECE,

More information

DESIGN OF A NEW MODIFIED CLOCK GATED SENSE-AMPLIFIER FLIP-FLOP

DESIGN OF A NEW MODIFIED CLOCK GATED SENSE-AMPLIFIER FLIP-FLOP DESIGN OF A NEW MODIFIED CLOCK GATED SENSE-AMPLIFIER FLIP-FLOP P.MANIKANTA, DR. R. RAMANA REDDY ABSTRACT In this paper a new modified explicit-pulsed clock gated sense-amplifier flip-flop (MCG-SAFF) is

More information

Design and Analysis of Semi-Transparent Flip-Flops for high speed and Low Power Applications in Networks

Design and Analysis of Semi-Transparent Flip-Flops for high speed and Low Power Applications in Networks IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331 PP 58-64 www.iosrjournals.org Design and Analysis of Semi-Transparent Flip-Flops for high speed and

More information

International Journal Of Global Innovations -Vol.6, Issue.I Paper Id: SP-V6-I1-P46 ISSN Online:

International Journal Of Global Innovations -Vol.6, Issue.I Paper Id: SP-V6-I1-P46 ISSN Online: ANALYSIS OF LOW-POWER AND AREA-EFFICIENT SHIFT REGISTERS USING PULSED LATCH #1 GUNTI SUMANJALI, M.Tech Student, #2 V.SRIDHAR, Assistant Professor, Dept of ECE, MOTHER THERESSA COLLEGE OF ENGINEERING &

More information

Abstract 1. INTRODUCTION. Cheekati Sirisha, IJECS Volume 05 Issue 10 Oct., 2016 Page No Page 18532

Abstract 1. INTRODUCTION. Cheekati Sirisha, IJECS Volume 05 Issue 10 Oct., 2016 Page No Page 18532 www.ijecs.in International Journal Of Engineering And Computer Science ISSN: 2319-7242 Volume 5 Issue 10 Oct. 2016, Page No. 18532-18540 Pulsed Latches Methodology to Attain Reduced Power and Area Based

More information

Design And Analysis Of Implicit Pulsed Double Edge Triggered Clocked Latch For Low Power Applications

Design And Analysis Of Implicit Pulsed Double Edge Triggered Clocked Latch For Low Power Applications Design And Analysis Of Implicit Pulsed Double Edge Triggered Clocked Latch For Low Power Applications ¹GABARIYALA SABADINI C ²Dr. P. MANIRAJ KUMAR ³Dr. P.NAGARAJAN 1. PG scholar, VLSI design, Department

More information

A Modified Static Contention Free Single Phase Clocked Flip-flop Design for Low Power Applications

A Modified Static Contention Free Single Phase Clocked Flip-flop Design for Low Power Applications JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.8, NO.5, OCTOBER, 08 ISSN(Print) 598-657 https://doi.org/57/jsts.08.8.5.640 ISSN(Online) -4866 A Modified Static Contention Free Single Phase Clocked

More information

DESIGN AND IMPLEMENTATION OF SYNCHRONOUS 4-BIT UP COUNTER USING 180NM CMOS PROCESS TECHNOLOGY

DESIGN AND IMPLEMENTATION OF SYNCHRONOUS 4-BIT UP COUNTER USING 180NM CMOS PROCESS TECHNOLOGY DESIGN AND IMPLEMENTATION OF SYNCHRONOUS 4-BIT UP COUNTER USING 180NM CMOS PROCESS TECHNOLOGY Yogita Hiremath 1, Akalpita L. Kulkarni 2, J. S. Baligar 3 1 PG Student, Dept. of ECE, Dr.AIT, Bangalore, Karnataka,

More information

A Reduced Clock Power Flip-Flop for Sequential Circuits

A Reduced Clock Power Flip-Flop for Sequential Circuits International Journal of Engineering and Advanced Technology (IJEAT) A Reduced Clock Power Flip-Flop for Sequential Circuits Bala Bharat, R. Ramana Reddy Abstract In most Very Large Scale Integration digital

More information

Design of Pulse Triggered Flip Flop Using Conditional Pulse Enhancement Technique

Design of Pulse Triggered Flip Flop Using Conditional Pulse Enhancement Technique Design of Pulse Triggered Flip Flop Using Conditional Pulse Enhancement Technique NAVEENASINDHU P 1, MANIKANDAN N 2 1 M.E VLSI Design, TRP Engineering College (SRM GROUP), Tiruchirappalli 621 105, India,2,

More information

Comparison of Conventional low Power Flip Flops with Pulse Triggered Generation using Signal Feed through technique

Comparison of Conventional low Power Flip Flops with Pulse Triggered Generation using Signal Feed through technique Comparison of Conventional low Power Flip Flops with Pulse Triggered Generation using Signal Feed through technique 1 Inder Singh, 2 Vinay Kumar 1 M.tech Scholar, 2Assistant Professor (ECE) 1 VLSI Design,

More information

ADVANCES in NATURAL and APPLIED SCIENCES

ADVANCES in NATURAL and APPLIED SCIENCES ADVANCES in NATURAL and APPLIED SCIENCES ISSN: 1995-0772 Published BY AENSI Publication EISSN: 1998-1090 http://www.aensiweb.com/anas 2016 April 10(4): pages 105-110 Open Access Journal Design and Performance

More information

Modeling and designing of Sense Amplifier based Flip-Flop using Cadence tool at 45nm

Modeling and designing of Sense Amplifier based Flip-Flop using Cadence tool at 45nm Modeling and designing of Sense Amplifier based Flip-Flop using Cadence tool at 45nm Akhilesh Tiwari1 and Shyam Akashe2 1Research Scholar, ITM University, Gwalior, India antrixman75@gmail.com 2Associate

More information

Energy Recovery Clocking Scheme and Flip-Flops for Ultra Low-Energy Applications

Energy Recovery Clocking Scheme and Flip-Flops for Ultra Low-Energy Applications Energy Recovery Clocking Scheme and Flip-Flops for Ultra Low-Energy Applications Matthew Cooke, Hamid Mahmoodi-Meimand, Kaushik Roy School of Electrical and Computer Engineering, Purdue University, West

More information

High Frequency 32/33 Prescalers Using 2/3 Prescaler Technique

High Frequency 32/33 Prescalers Using 2/3 Prescaler Technique High Frequency 32/33 Prescalers Using 2/3 Prescaler Technique Don P John (School of Electrical Sciences, Karunya University, Coimbatore ABSTRACT Frequency synthesizer is one of the important element for

More information

DESIGN OF EFFICIENT SHIFT REGISTERS USING PULSED LATCHES 1 M. AJAY

DESIGN OF EFFICIENT SHIFT REGISTERS USING PULSED LATCHES 1 M. AJAY DESIGN OF EFFICIENT SHIFT REGISTERS USING PULSED LATCHES 1 M. AJAY 2 G.SRIHARI 1 ajaymunagala.ajay@gmail.com 2 srihari.nan@gmail.com 1 PG Scholar,Dept of ECE, Sreenivasa Institute of Technology and Management

More information

Minimization of Power for the Design of an Optimal Flip Flop

Minimization of Power for the Design of an Optimal Flip Flop Minimization of Power for the Design of an Optimal Flip Flop Kahkashan Ali #1, Tarana Afrin Chandel #2 #1 M.TECH Student, #2 Associate Professor, 1,2 Department of ECE, Integral University, Lucknow, INDIA

More information

Design of Low Power Universal Shift Register

Design of Low Power Universal Shift Register Design of Low Power Universal Shift Register 1 Saranya.M, 2 V.Vijayakumar, 3 T.Ravi, 4 V.Kannan 1 M.Tech-VLSI design, Sathyabama University, Jeppiaar Nagar, Rajiv Gandhi Salai, Chennai 119 2 Assistant

More information

A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY

A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY Ms. Chaitali V. Matey 1, Ms. Shraddha K. Mendhe 2, Mr. Sandip A.

More information

THE clock system, composed of the clock interconnection

THE clock system, composed of the clock interconnection IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 12, NO. 5, MAY 2004 477 High-Performance and Low-Power Conditional Discharge Flip-Flop Peiyi Zhao, Student Member, IEEE, Tarek K.

More information

Low-Power and Area-Efficient Shift Register Using Pulsed Latches

Low-Power and Area-Efficient Shift Register Using Pulsed Latches Low-Power and Area-Efficient Shift Register Using Pulsed Latches G.Sunitha M.Tech, TKR CET. P.Venkatlavanya, M.Tech Associate Professor, TKR CET. Abstract: This paper proposes a low-power and area-efficient

More information

data and is used in digital networks and storage devices. CRC s are easy to implement in binary

data and is used in digital networks and storage devices. CRC s are easy to implement in binary Introduction Cyclic redundancy check (CRC) is an error detecting code designed to detect changes in transmitted data and is used in digital networks and storage devices. CRC s are easy to implement in

More information

AN EFFICIENT LOW POWER DESIGN FOR ASYNCHRONOUS DATA SAMPLING IN DOUBLE EDGE TRIGGERED FLIP-FLOPS

AN EFFICIENT LOW POWER DESIGN FOR ASYNCHRONOUS DATA SAMPLING IN DOUBLE EDGE TRIGGERED FLIP-FLOPS AN EFFICIENT LOW POWER DESIGN FOR ASYNCHRONOUS DATA SAMPLING IN DOUBLE EDGE TRIGGERED FLIP-FLOPS NINU ABRAHAM 1, VINOJ P.G 2 1 P.G Student [VLSI & ES], SCMS School of Engineering & Technology, Cochin,

More information

High Performance Dynamic Hybrid Flip-Flop For Pipeline Stages with Methodical Implanted Logic

High Performance Dynamic Hybrid Flip-Flop For Pipeline Stages with Methodical Implanted Logic High Performance Dynamic Hybrid Flip-Flop For Pipeline Stages with Methodical Implanted Logic K.Vajida Tabasum, K.Chandra Shekhar Abstract-In this paper we introduce a new high performance dynamic hybrid

More information

EFFICIENT POWER REDUCTION OF TOPOLOGICALLY COMPRESSED FLIP-FLOP AND GDI BASED FLIP FLOP

EFFICIENT POWER REDUCTION OF TOPOLOGICALLY COMPRESSED FLIP-FLOP AND GDI BASED FLIP FLOP EFFICIENT POWER REDUCTION OF TOPOLOGICALLY COMPRESSED FLIP-FLOP AND GDI BASED FLIP FLOP S.BANUPRIYA 1, R.GOWSALYA 2, M.KALEESWARI 3, B.DHANAM 4 1, 2, 3 UG Scholar, 4 Asst.Professor/ECE 1, 2, 3, 4 P.S.R.RENGASAMY

More information

Dual Edge Adaptive Pulse Triggered Flip-Flop for a High Speed and Low Power Applications

Dual Edge Adaptive Pulse Triggered Flip-Flop for a High Speed and Low Power Applications International Journal of Scientific and Research Publications, Volume 5, Issue 10, October 2015 1 Dual Edge Adaptive Pulse Triggered Flip-Flop for a High Speed and Low Power Applications S. Harish*, Dr.

More information

Research Article Ultra Low Power, High Performance Negative Edge Triggered ECRL Energy Recovery Sequential Elements with Power Clock Gating

Research Article Ultra Low Power, High Performance Negative Edge Triggered ECRL Energy Recovery Sequential Elements with Power Clock Gating Research Journal of Applied Sciences, Engineering and Technology 7(16): 3312-3319, 2014 DOI:10.19026/rjaset.7.676 ISSN: 2040-7459; e-issn: 2040-7467 2014 Maxwell Scientific Publication Corp. Submitted:

More information

Gated Driver Tree Based Power Optimized Multi-Bit Flip-Flops

Gated Driver Tree Based Power Optimized Multi-Bit Flip-Flops International Journal of Emerging Engineering Research and Technology Volume 2, Issue 4, July 2014, PP 250-254 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) Gated Driver Tree Based Power Optimized Multi-Bit

More information

EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP. Due İLKER KALYONCU, 10043

EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP. Due İLKER KALYONCU, 10043 EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP Due 16.05. İLKER KALYONCU, 10043 1. INTRODUCTION: In this project we are going to design a CMOS positive edge triggered master-slave

More information

Reduction of Area and Power of Shift Register Using Pulsed Latches

Reduction of Area and Power of Shift Register Using Pulsed Latches I J C T A, 9(13) 2016, pp. 6229-6238 International Science Press Reduction of Area and Power of Shift Register Using Pulsed Latches Md Asad Eqbal * & S. Yuvaraj ** ABSTRACT The timing element and clock

More information

International Journal of Scientific & Engineering Research, Volume 4, Issue 7, July ISSN

International Journal of Scientific & Engineering Research, Volume 4, Issue 7, July ISSN International Journal of Scientific & Engineering Research, Volume 4, Issue 7, July-2013 2413 Design of Low Power Clock Gated Sense Amplifier Flip Flop With SVL Circuit P. Sathees Kumar 1, Prof. R. Jagadeesan

More information

GLITCH FREE NAND BASED DCDL IN PHASE LOCKED LOOP APPLICATION

GLITCH FREE NAND BASED DCDL IN PHASE LOCKED LOOP APPLICATION GLITCH FREE NAND BASED DCDL IN PHASE LOCKED LOOP APPLICATION S. Karpagambal 1 and M. S. Thaen Malar 2 1 VLSI Design, Sona College of Technology, Salem, India 2 Department of Electronics and Communication

More information

Low Power D Flip Flop Using Static Pass Transistor Logic

Low Power D Flip Flop Using Static Pass Transistor Logic Low Power D Flip Flop Using Static Pass Transistor Logic 1 T.SURIYA PRABA, 2 R.MURUGASAMI PG SCHOLAR, NANDHA ENGINEERING COLLEGE, ERODE, INDIA Abstract: Minimizing power consumption is vitally important

More information

ISSN Vol.08,Issue.24, December-2016, Pages:

ISSN Vol.08,Issue.24, December-2016, Pages: ISSN 2348 2370 Vol.08,Issue.24, December-2016, Pages:4666-4671 www.ijatir.org Design and Analysis of Shift Register using Pulse Triggered Latches N. NEELUFER 1, S. RAMANJI NAIK 2, B. SURESH BABU 3 1 PG

More information

Novel Design of Static Dual-Edge Triggered (DET) Flip-Flops using Multiple C-Elements

Novel Design of Static Dual-Edge Triggered (DET) Flip-Flops using Multiple C-Elements Available online at: http://www.ijmtst.com/ncceeses2017.html Special Issue from 2 nd National Conference on Computing, Electrical, Electronics and Sustainable Energy Systems, 6 th 7 th July 2017, Rajahmundry,

More information

Design and Simulation of a Digital CMOS Synchronous 4-bit Up-Counter with Set and Reset

Design and Simulation of a Digital CMOS Synchronous 4-bit Up-Counter with Set and Reset Design and Simulation of a Digital CMOS Synchronous 4-bit Up-Counter with Set and Reset Course Number: ECE 533 Spring 2013 University of Tennessee Knoxville Instructor: Dr. Syed Kamrul Islam Prepared by

More information

Modified Ultra-Low Power NAND Based Multiplexer and Flip-Flop

Modified Ultra-Low Power NAND Based Multiplexer and Flip-Flop IJSTE - International Journal of Science Technology & Engineering Volume 2 Issue 06 December 2015 ISSN (online): 2349-784X Modified Ultra-Low Power NAND Based Multiplexer and Flip-Flop Amit Saraswat Chanpreet

More information

Parametric Optimization of Clocked Redundant Flip-Flop Using Transmission Gate

Parametric Optimization of Clocked Redundant Flip-Flop Using Transmission Gate Parametric Optimization of Clocked Redundant Flip-Flop Using Transmission Gate Sapna Sadhwani Student, Department of ECE Lakshmi Narain College of Technology Bhopal, India srsadhwani@gmail.comm Abstract

More information

Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register

Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register International Journal for Modern Trends in Science and Technology Volume: 02, Issue No: 10, October 2016 http://www.ijmtst.com ISSN: 2455-3778 Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift

More information

Design of Low Power Dual Edge Triggered Flip Flop Based On Signal Feed through Scheme

Design of Low Power Dual Edge Triggered Flip Flop Based On Signal Feed through Scheme Design of Low Power Dual Edge Triggered Flip Flop Based On Signal Feed through Scheme S.Sujatha 1, M.Vignesh 2 and T.Kowsalya 3 PG Scholar [VLSI], Muthayammal Engineering College, Rasipuram, Namakkal,

More information

Design of Shift Register Using Pulse Triggered Flip Flop

Design of Shift Register Using Pulse Triggered Flip Flop Design of Shift Register Using Pulse Triggered Flip Flop Kuchanpally Mounika M.Tech [VLSI], CMR Institute of Technology, Kandlakoya, Medchal, Hyderabad, India. G.Archana Devi Assistant Professor, CMR Institute

More information

A NOVEL APPROACH TO ACHIEVE HIGH SPEED LOW-POWER HYBRID FLIP-FLOP

A NOVEL APPROACH TO ACHIEVE HIGH SPEED LOW-POWER HYBRID FLIP-FLOP A NOVEL APPROACH TO ACHIEVE HIGH SPEED LOW-POWER HYBRID FLIP-FLOP R.Ramya 1, P.Pavithra 2, T. Marutharaj 3 1, 2 PG Scholar, 3 Assistant Professor Theni Kammavar Sangam College of Technology, Theni, Tamil

More information

A Novel Pass Transistor Logic Based Pulse Triggered Flip-flop with Conditional Enhancement

A Novel Pass Transistor Logic Based Pulse Triggered Flip-flop with Conditional Enhancement A Novel Pass Transistor Logic Based Pulse Triggered Flip-flop with Conditional Enhancement Shakthipriya.R 1, Kirthika.N 2 1 PG Scholar, Department of ECE-PG, Sri Ramakrishna Engineering College, Coimbatore,

More information

Fully Static and Compressed Topology Using Power Saving in Digital circuits for Reduced Transistor Flip flop

Fully Static and Compressed Topology Using Power Saving in Digital circuits for Reduced Transistor Flip flop Fully Static and Compressed Topology Using Power Saving in Digital circuits for Reduced Transistor Flip flop 1 S.Mounika & 2 P.Dhaneef Kumar 1 M.Tech, VLSIES, GVIC college, Madanapalli, mounikarani3333@gmail.com

More information

Design of a High Frequency Dual Modulus Prescaler using Efficient TSPC Flip Flop using 180nm Technology

Design of a High Frequency Dual Modulus Prescaler using Efficient TSPC Flip Flop using 180nm Technology Design of a High Frequency Dual Modulus Prescaler using Efficient TSPC Flip Flop using 180nm Technology Divya shree.m 1, H. Venkatesh kumar 2 PG Student, Dept. of ECE, Nagarjuna College of Engineering

More information

DESIGN AND SIMULATION OF LOW POWER JK FLIP-FLOP AT 45 NANO METER TECHNOLOGY

DESIGN AND SIMULATION OF LOW POWER JK FLIP-FLOP AT 45 NANO METER TECHNOLOGY DESIGN AND SIMULATION OF LOW POWER JK FLIP-FLOP AT 45 NANO METER TECHNOLOGY 1 Anshu Mittal, 2 Jagpal Singh Ubhi Department of Electronics and Communication Engineering, Sant Longowal Institute of Engineering

More information

ISSCC 2003 / SESSION 19 / PROCESSOR BUILDING BLOCKS / PAPER 19.5

ISSCC 2003 / SESSION 19 / PROCESSOR BUILDING BLOCKS / PAPER 19.5 ISSCC 2003 / SESSION 19 / PROCESSOR BUILDING BLOCKS / PAPER 19.5 19.5 A Clock Skew Absorbing Flip-Flop Nikola Nedovic 1,2, Vojin G. Oklobdzija 2, William W. Walker 1 1 Fujitsu Laboratories of America,

More information

New Single Edge Triggered Flip-Flop Design with Improved Power and Power Delay Product for Low Data Activity Applications

New Single Edge Triggered Flip-Flop Design with Improved Power and Power Delay Product for Low Data Activity Applications American-Eurasian Journal of Scientific Research 8 (1): 31-37, 013 ISSN 1818-6785 IDOSI Publications, 013 DOI: 10.589/idosi.aejsr.013.8.1.8366 New Single Edge Triggered Flip-Flop Design with Improved Power

More information

Power Optimization by Using Multi-Bit Flip-Flops

Power Optimization by Using Multi-Bit Flip-Flops Volume-4, Issue-5, October-2014, ISSN No.: 2250-0758 International Journal of Engineering and Management Research Page Number: 194-198 Power Optimization by Using Multi-Bit Flip-Flops D. Hazinayab 1, K.

More information

EFFICIENT DESIGN OF SHIFT REGISTER FOR AREA AND POWER REDUCTION USING PULSED LATCH

EFFICIENT DESIGN OF SHIFT REGISTER FOR AREA AND POWER REDUCTION USING PULSED LATCH EFFICIENT DESIGN OF SHIFT REGISTER FOR AREA AND POWER REDUCTION USING PULSED LATCH 1 Kalaivani.S, 2 Sathyabama.R 1 PG Scholar, 2 Professor/HOD Department of ECE, Government College of Technology Coimbatore,

More information

CMOS DESIGN OF FLIP-FLOP ON 120nm

CMOS DESIGN OF FLIP-FLOP ON 120nm CMOS DESIGN OF FLIP-FLOP ON 120nm *Neelam Kumar, **Anjali Sharma *4 th Year Student, Department of EEE, AP Goyal Shimla University Shimla, India. neelamkumar991@gmail.com ** Assistant Professor, Department

More information

Novel Low Power and Low Transistor Count Flip-Flop Design with. High Performance

Novel Low Power and Low Transistor Count Flip-Flop Design with. High Performance Novel Low Power and Low Transistor Count Flip-Flop Design with High Performance Imran Ahmed Khan*, Dr. Mirza Tariq Beg Department of Electronics and Communication, Jamia Millia Islamia, New Delhi, India

More information

THE CLOCK system, which consists of the clock distribution

THE CLOCK system, which consists of the clock distribution 338 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 15, NO. 3, MARCH 2007 Low-Power Clock Branch Sharing Double-Edge Triggered Flip-Flop Peiyi Zhao, Member, IEEE, Jason McNeely,

More information

CMOS Design Analysis of 4 Bit Shifters 1 Baljot Kaur, M.E Scholar, Department of Electronics & Communication Engineering, National

CMOS Design Analysis of 4 Bit Shifters 1 Baljot Kaur, M.E Scholar, Department of Electronics & Communication Engineering, National CMOS Design Analysis of 4 Bit Shifters 1 Baljot Kaur, M.E Scholar, Department of Electronics & Communication Engineering, National Institute of Technical Teachers Training & Research, Chandigarh, UT, (India),

More information

Low Power Different Sense Amplifier Based Flip-flop Configurations implemented using GDI Technique

Low Power Different Sense Amplifier Based Flip-flop Configurations implemented using GDI Technique International Journal of Scientific and Research Publications, Volume 2, Issue 4, April 2012 1 Low Power Different Sense Amplifier Based Flip-flop Configurations implemented using GDI Technique Priyanka

More information

Design of low power 4-bit shift registers using conditionally pulse enhanced pulse triggered flip-flop

Design of low power 4-bit shift registers using conditionally pulse enhanced pulse triggered flip-flop IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 4, Issue 5, Ver. II (Sep-Oct. 2014), PP 54-64 e-issn: 2319 4200, p-issn No. : 2319 4197 Design of low power 4-bit shift registers using conditionally

More information

Load-Sensitive Flip-Flop Characterization

Load-Sensitive Flip-Flop Characterization Appears in IEEE Workshop on VLSI, Orlando, Florida, April Load-Sensitive Flip-Flop Characterization Seongmoo Heo and Krste Asanović Massachusetts Institute of Technology Laboratory for Computer Science

More information

An Efficient Power Saving Latch Based Flip- Flop Design for Low Power Applications

An Efficient Power Saving Latch Based Flip- Flop Design for Low Power Applications An Efficient Power Saving Latch Based Flip- Flop Design for Low Power Applications N.KIRAN 1, K.AMARNATH 2 1 P.G Student, VRS & YRN College of Engineering & Technology, Vodarevu Road, Chirala 2 HOD & Professor,

More information

LOW POWER HIGH PERFORMANCE PULSED FLIP FLOPS BASED ON SIGNAL FEED SCHEME

LOW POWER HIGH PERFORMANCE PULSED FLIP FLOPS BASED ON SIGNAL FEED SCHEME LOW POWER HIGH PERFORMANCE PULSED FLIP FLOPS BASED ON SIGNAL FEED SCHEME Juhi Rastogi 1, Vipul Bhatnagar 2 1,2 Department of Electronics and Communication, Inderprastha Enginering College, Ghaziabad (India)

More information

Analysis of Digitally Controlled Delay Loop-NAND Gate for Glitch Free Design

Analysis of Digitally Controlled Delay Loop-NAND Gate for Glitch Free Design Analysis of Digitally Controlled Delay Loop-NAND Gate for Glitch Free Design S. Karpagambal, PG Scholar, VLSI Design, Sona College of Technology, Salem, India. e-mail:karpagambals.nsit@gmail.com M.S. Thaen

More information

A Low Power Delay Buffer Using Gated Driver Tree

A Low Power Delay Buffer Using Gated Driver Tree IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) ISSN: 2319 4200, ISBN No. : 2319 4197 Volume 1, Issue 4 (Nov. - Dec. 2012), PP 26-30 A Low Power Delay Buffer Using Gated Driver Tree Kokkilagadda

More information

Comparative study on low-power high-performance standard-cell flip-flops

Comparative study on low-power high-performance standard-cell flip-flops Comparative study on low-power high-performance standard-cell flip-flops S. Tahmasbi Oskuii, A. Alvandpour Electronic Devices, Linköping University, Linköping, Sweden ABSTRACT This paper explores the energy-delay

More information

Dual Slope ADC Design from Power, Speed and Area Perspectives

Dual Slope ADC Design from Power, Speed and Area Perspectives Dual Slope ADC Design from Power, Speed and Area Perspectives Isaac Macwan, Xingguo Xiong, Lawrence Hmurcik Department of Electrical & Computer Engineering, University of Bridgeport, Bridgeport, CT 06604

More information

International Journal of Engineering Research in Electronics and Communication Engineering (IJERECE) Vol 1, Issue 6, June 2015 I.

International Journal of Engineering Research in Electronics and Communication Engineering (IJERECE) Vol 1, Issue 6, June 2015 I. Low Power Dual Dynamic Node Pulsed Hybrid Flip-Flop Using Power Gating Techniques [1] Shaik Abdul Khadar, [2] P.Hareesh, [1] PG scholar VLSI Design Dept of E.C.E., Sir C R Reddy College of Engineering

More information

LOW-POWER CLOCK DISTRIBUTION IN EDGE TRIGGERED FLIP-FLOP

LOW-POWER CLOCK DISTRIBUTION IN EDGE TRIGGERED FLIP-FLOP LOW-POWER CLOCK DISTRIBUTION IN EDGE TRIGGERED FLIP-FLOP Rahul Yadav 1, Rahul Shrivastava 2, Vijay Yadav 3 1 M.Tech Scholar, 2 Asst. Prof., 3 Asst. Prof Department of Electronics and Communication Engineering,

More information

An efficient Sense amplifier based Flip-Flop design

An efficient Sense amplifier based Flip-Flop design An efficient Sense amplifier based Flip-Flop design Rajendra Prasad and Narayan Krishan Vyas Abstract An efficient approach for sense amplifier based flip-flop design has been introduced in this paper.

More information

Comparative Analysis of Pulsed Latch and Flip-Flop based Shift Registers for High-Performance and Low-Power Systems

Comparative Analysis of Pulsed Latch and Flip-Flop based Shift Registers for High-Performance and Low-Power Systems IJECT Vo l. 7, Is s u e 2, Ap r i l - Ju n e 2016 ISSN : 2230-7109 (Online) ISSN : 2230-9543 (Print) Comparative Analysis of Pulsed Latch and Flip-Flop based Shift Registers for High-Performance and Low-Power

More information

Combining Dual-Supply, Dual-Threshold and Transistor Sizing for Power Reduction

Combining Dual-Supply, Dual-Threshold and Transistor Sizing for Power Reduction Combining Dual-Supply, Dual-Threshold and Transistor Sizing for Reduction Stephanie Augsburger 1, Borivoje Nikolić 2 1 Intel Corporation, Enterprise Processors Division, Santa Clara, CA, USA. 2 Department

More information

DESIGN OF EFFICIENT SHIFT REGISTERS USING PULSED LATCHES

DESIGN OF EFFICIENT SHIFT REGISTERS USING PULSED LATCHES DESIGN OF EFFICIENT SHIFT REGISTERS USING PULSED LATCHES 1 M. Ajay, 2 G.Srihari, 1 PG Scholar,Dept of ECE, Sreenivasa Institute of Technology and Management Studies (Autonomous) Murkambattu, Chittoor,

More information

ANALYSIS OF POWER REDUCTION IN 2 TO 4 LINE DECODER DESIGN USING GATE DIFFUSION INPUT TECHNIQUE

ANALYSIS OF POWER REDUCTION IN 2 TO 4 LINE DECODER DESIGN USING GATE DIFFUSION INPUT TECHNIQUE ANALYSIS OF POWER REDUCTION IN 2 TO 4 LINE DECODER DESIGN USING GATE DIFFUSION INPUT TECHNIQUE *Pranshu Sharma, **Anjali Sharma * Assistant Professor, Department of ECE AP Goyal Shimla University, Shimla,

More information

Design of a Low Power Four-Bit Binary Counter Using Enhancement Type Mosfet

Design of a Low Power Four-Bit Binary Counter Using Enhancement Type Mosfet Design of a Low Power Four-Bit Binary Counter Using Enhancement Type Mosfet Praween Sinha Department of Electronics & Communication Engineering Maharaja Agrasen Institute Of Technology, Rohini sector -22,

More information

CERTAIN PERFORMANCE INVESTIGATIONS OF VARIOUS PULSE TRIGGERED FLIP FLOPS

CERTAIN PERFORMANCE INVESTIGATIONS OF VARIOUS PULSE TRIGGERED FLIP FLOPS Volume 119 No. 15 2018, 437-455 ISSN: 1314-3395 (on-line version) url: http://www.acadpubl.eu/hub/ http://www.acadpubl.eu/hub/ CERTAIN PERFORMANCE INVESTIGATIONS OF VARIOUS PULSE TRIGGERED FLIP FLOPS R.MOHAN

More information

Design of Low Power and Area Efficient 64 Bits Shift Register Using Pulsed Latches

Design of Low Power and Area Efficient 64 Bits Shift Register Using Pulsed Latches Advances in Computational Sciences and Technology ISSN 0973-6107 Volume 11, Number 7 (2018) pp. 555-560 Research India Publications http://www.ripublication.com Design of Low Power and Area Efficient 64

More information

Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky,

Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky, Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky, tomott}@berkeley.edu Abstract With the reduction of feature sizes, more sources

More information

Optimized Design and Simulation of 4-Bit Johnson Ring Counter Using 90nm Technology

Optimized Design and Simulation of 4-Bit Johnson Ring Counter Using 90nm Technology Optimized Design and Simulation of 4-Bit Johnson Ring Counter Using 90nm Technology Abhishek Rai 1, Rajesh Mehra 2 Electronics and Communication Engineering Department 1, 2, ME Scholar 1, Associate Professor

More information

Design Of Pulsed Latch Based Shift Register Using Multiplexer With Reduced Power And Area

Design Of Pulsed Latch Based Shift Register Using Multiplexer With Reduced Power And Area Design Of Pulsed Latch Based Shift Register Using Multiplexer With Reduced Power And Area Nandhini.N 1,Murugasami.R 2 1 PG Scholar,Nandha Engineering college,erode,india 2 Associate Professor,Nandha Engineering

More information