International Journal of Computer Trends and Technology (IJCTT) volume 24 Number 2 June 2015

Size: px
Start display at page:

Download "International Journal of Computer Trends and Technology (IJCTT) volume 24 Number 2 June 2015"

Transcription

1 Power and Area analysis of Flip Flop using different s Neha Thapa 1, Dr. Rajesh Mehra 2 1 ME student, Department of E.C.E, NITTTR, Chandigarh, India 2 Associate Professor, Department of E.C.E, NITTTR, Chandigarh, India Abstract - The use of very large scale Integration (VLSI) technology in high performance computing, wireless communication and consumer electronics has been rising at a very fast rate. The challenge of advanced VLSI technology is growing in leakage power consumption. In these days standby leakage power dissipation is emerging as the major design consideration. Leakage control is very important, especially for low power applications and handheld devices such as cellular phones and PDA. This paper enumerates a low power and area of proposed pass transistor based flip flop using self adjustable voltage level circuit by comparison with different CMOS s. This work analysis the power and area of three different types of D flip-flops using pass transistors, transmission gates and gate diffusion input gates. All the circuits are simulated with and without application of leakage reduction s. The circuits are simulated with CMOS in MICROWIND and DSCH using 180nm technology. Keywords : CMOS, Leakage Power, Pass Transistors, Process Technology, Stacking Effect, Transmission Gates 1. Introduction With development in technology and increasing demand of battery operated mobile platforms like laptop, palmtop computers, cellular phones, wireless modems and portable multimedia applications, etc. has directed the VLSI designers to be more power aware [1]. Most of the current designs are synchronous, which implies that flip-flops and latches are concerned in one way or another in the data and control paths. One of the challenges of low power methodologies for synchronous systems is the power utilization of these flip-flops and latches. It is significant to save power in these flip-flops and latches without compromising state reliability or performance. Several researchers have worked on low power flip-flop design, but they are mostly focused on one or a few types of flip-flops or applications. The requirement for comparing different designs and approaches is the main motivation for this paper. The main tradeoffs of any flip-flop are very important for a Power consumption of the clock system increases considerably and clock uncertainties take a significant part of the clock cycle at high frequencies. Moreover the non-ideal clock distribution fallout in degradation of the clock waveform, power supply noise and crosstalk. About 30%-70% of the total power of the system is dissipated due to clocking network, and the Flip-Flop. The latest advancement in computing technology has set a goal of high performance with low power consumption for VLSI designer [2]. Flip-flops are important timing elements in digital circuits which have a great impact on circuit power consumption and speed. The performance of the Flip-Flop is an important element to conclude the performance of the whole synchronous circuit, particularly in deeper pipelined design. Technology scaling of a transistor feature size has provided a remarkable innovation in silicon industry for the past few decades. Designers are striving for small silicon area, higher speed, low power consumption and reliability due to ever increasing demand and popularity of portable electronics. With the increasing use of mobile devices, consumer electronics markets demand a stringent constraint on reducing the power dissipation. In order to reduce the complexity of circuit design, a large proportion of For improving the performance one innovating is to increase the clock frequency [3]. The design for low power issues can t be overcome without defining the power prediction and optimization tools. Therefore, there is a critical need for certain tools to calculate power dissipation during the design to meet the power constraints to ignore the costly redesign effort However, using high clock frequency has many disadvantages. Power consumption of the clock system increases dramatically and clock uncertainties take a significant part of the clock cycle at high frequencies. Moreover the nonideal clock distribution results in degradation of the clock waveform, power supply noise and crosstalk. About 30%-70% of the total power of the system is dissipated due to clocking network, and the Flip-Flops [3]. An another clocking approach is based on the use of storage elements which are capable of capturing data on ISSN: Page 57

2 both rising and falling edges of the clock. Such storage elements are termed as Dual-Edge Triggered Flip-Flops (DETFFs). In this scenario, same data throughput can be achieved at half of the clock frequency as compared to the single edge triggered Flip-Flops [4]. In other words,we can say that double edge clocking can be used to save half of the power in the clock distribution network.storage elements which are capable of capturing data on both rising and falling edges of the clock. Such storage elements are termed as Dual-Edge Triggered Flip-Flops (DETFFs). In this scenario, same data throughput can be achieved at half of the clock frequency as compared to the single edge triggered Flip-Flops [5]. In other words, we can say that double edge clocking can be used to save half of the power in the clock distribution networkthe designer s main purpose in the field of digital circuit design is minimization of power consumption. A large part of research has been performed to expand and advance conventional Complementary Metal Oxide Semiconductor s for the fabrication of ULTRA low power integrated circuits. These advancements are responsible for special design s for digital circuits distant from conventional CMOS design style. Gate Diffusion Input design was introduced as a promising alternative to complementary CMOS Logic design. The GDI cell contains three inputs: G (common gate input of NMOS and PMOS), P (input to the source/drain of PMOS), and N (input to the source/drain of NMOS). The GDI cell structure is different from the existing PTL s [7]. Voltage scaling is associated with threshold voltage scaling which can cause the leakage power to increase exponentially. By using double-edge triggered flip-flops (DETFFs), the clock frequency can be significantly reduced ideally, cut in half while preserving the rate of data processing. It must be remarked that not all of the functions are possible in standard p-well CMOS process, but can be successfully implemented in twin-well CMOS or silicon on insulator (SOI) technologies. Dynamic power is proportional to the square of the supply voltage, contributes highest power consumption among the three. Therefore, reducing the supply voltage is the most valuable way to reduce power consumption of the design. Reduction in clock frequency is another alternative to reduce the dynamic power.the double edge clocking approach is adapted in this paper to reduce the clock frequency. Fig.1 Basic GDI cell Stack forced is based on the fact that natural stacking of MOSFET helps in achieving leakage current. The leakage through two series OFF transistor is much lower than that of single transistor because of stack effect. Power consumption of CMOS consists of dynamic and static components. Dynamic power is consumed when transistors are switching, and static power is consumed apart from of transistor switching. An effective way to reduce leakage power in active mode is stacked of the transistor. The proposed works concurrently with voltage and frequency scaling and power reduction is achieved by power gating within the clock cycle during which is applied during idle mode [8]. wider use of sequential logic and memory storage systems in modern electronics outcome in the implementation of low power and high speed design of basic memory elements. One of the most important basic memory elements is the D flip-flop (DFF). Three different designs of D flip-flops in CMOS logic are presented in this section 2. CMOS Implementation of D Flip Flop The wide exploit of sequential logic and memory storage systems in modern electronics results in the realization of low power and high speed design of basic memory elements. One of the most important basic memory elements is the D flip-flop (DFF). Three different ISSN: Page 58

3 designs of D flip-flops in CMOS logic are presented in this section. The D flip-flop combines a pair of master and slave D latch. Design I use pass transistors and inverters for the master-slave latches [10]. The flip-flop changes its state during the falling edge of the clock. Fig 2 shows the design II that uses transmission gates and inverters. The D flipflop combines a pair of master and slave D latch. Design I use pass transistors and inverters for the master-slave latches. The two chained inverters are in memory state when the PMOS loop transistor is on, that is when clock equals to 0. Other two chain inverters on the right hand side acts in the opposite way. complementary values of the internal signals and the circuit outputs. Fig.3 D FF using GDI Fig.2 D FF with pass transistor circuit Fig.3 shows design III with master-slave connection of two GDI D-latches. In this the body gates are responsible for the state of the circuit. These gates are controlled by the clock signal and create two alternative paths. One for transparent state of the latch, when the clock is low and the signals are propagating through PMOS transistors.the other one is in the holding state of the latch, when the clock signal is high and internal values are maintained due to conduction of the NMOS transistors. The inverters are responsible for maintaining the 3.D Flip Flop Reduction Techniques In this section two leakage reduction s, namely transistor stacking and selfadjustable voltage level circuit that is applied to the above circuits are described. The leakage current flowing through a stack of series connected transistors reduces when more than one transistor of the stack is turned OFF. This effect is known as the Stacking Effect. When two or more transistors that are switched OFF are stacked on top of each other then they dissipate less leakage power than a single transistor that is turned OFF. This is because each transistor in the stack induces a slight reverse bias between the gate and the source of the transistor, right below it, and this increases the threshold voltage of the bottom transistor making it more resistant to leakage[12]. ISSN: Page 59

4 Fig.4 D FF with stack forced Fig.6. shows the general self-adjustable voltage Level circuit, where Vdd is the supply voltage and VL is the output voltage of this circuit, which is applied to any load circuit. (In this paper D flip-flop is the load circuit). During the active mode (when SL=0), this circuit supplies maximum supply voltage to the load circuit through the ON PMOS transistor (P1) so that the load circuit can operate quickly. During the standby mode (SL=1), it provides slightly lower supply voltage to the load circuit through the weakly ON NMOS transistors So the voltage applied to the load circuit is given by: V L = V dd V n Where Vn is the voltage drop of m weakly ON NMOS transistors. The drain to source voltage Vdsn of the OFF NMOS in the standby mode is expressed as: V dsn = V L - Vss = V L Vdsn can be decreased by increasing Vn that is increasing m, the number of NMOS transistors. When Vdsn is decreased, the drain- induced barrier-lowering (DIBL) effect is decreased this in turn increases the threshold voltage Vtn of NMOS transistors. Consequently the sub threshold leakage current of the OFF MOSFETs decreases, so leakage power is minimized, while data are retained. Fig.7 shows the proposed leakage power reduced D flip-flop design using self adjustable voltage level circuit. Fig. 5 D FF with self adjustable voltage level circuit 3. Layout Design Analysis Various layout design implementation using different s has been shown below: Fig. 6 Layout design of D FF with pass transistor circuit Fig.7 Layout design of D FF using GDI ISSN: Page 60

5 Fig.8 Layout design of D FF with stack forced Fig.11 I/O waveform using Self adjustable voltage level circuit Comparison table of different s shown below: Various Techniques used GDI Pass transistor circuit Stack force Self adjustable circuit Power (µw) Area (µm 2 ) Fig. 9 Layout design of D FF with self adjustable voltage level circuit In these works different designs of D flip-flops have been implemented in 180 nm CMOS process technology. The simulations are done in MICROWIND by using 180 nm technology. The Fig. 8 and Fig. 9 show the input-output waveforms of D flip-flop with stack force and Self adjustable voltage level s. 5. Conclusion In this paper three CMOS implementation of DFFs using pass transistors, transmission gates and GDI gates are proposed. The average power and area of the designs are presented. The leakage power of all the designs decreases when reduction s are applied. The percentage reduction of leakage power is more with the proposed SAL. Therefore, for low leakage power applications SAL design can be used in 180 nm technology. In low power applications area and power consumption of the device are the main technological aspects to prefer a design over the other contending designs. In this paper various literatures have studied and based on all the above comparisons among different s will be done to find which one is better. 7. References [1] Surya Naik and Rajeevan Chandel, Design of a Low Power Flip-Flop Using CMOS Deep Submicron Technology, IEEE International Conference on Recent Trends in Information, Telecommunication and Computing (ITC),pp , Fig.10 I/O waveform using stack force [2] Z. Peiyi, M. Jason, K. Weidong, W. Nan, and W. Zhongfeng, Design of Sequential Elements for Low Power Clocking System. IEEE Transaction on Very large Scale Integration, pp , July ISSN: Page 61

6 [3] M. Janaki Rani and S. Malarkann, Leakage Power reduction and analysis of CMOS sequential circuits, International Journal of VLSI design & Communication Systems Volume3, pp.13-23, February [4] Bhuvana S, Sangeetha R, A Survey on Sequential Elements for Low Power Clocking System, Journal of Computer Applications, ISSN: , Volume 5, 10 February Jalandhar, India. Mr. Mehra has more than 16 years of academic experience. He has authored more than 100 research papers including more than 50 in Journals. Mr. Mehra s interest areas are VLSI Design, Embedded System Design, and Advanced DSP. [5] A. G. M. Strollo, D. De Caro, E. Napoli, and N. Petra, A novel high speed sense-amplifier-based flipflop, IEEE Trans. Very Large Scale Integration (VLSI) Syst.,Volume 13, no. 11, pp , Nov [6] Z. Peiyi, M. Jason, K. Weidong, W. Nan, and W. Zhongfeng, Design of Sequential Elements for Low Power Clocking System. IEEE Transaction on Very large Scale Integration, pp , July 2 [7] C. K. Teh, M. Hamada, T. Fujita,H. Hara, N. Ikumi, and Y. Oowaki, Conditional Data Mapping Flip-Flops for Low-Power and High-Performance Systems, IEEE Transactions on very large scale integration (VLSI) systems, Volume 14, No. 12, pp , December [8]Tanvi Sood, Rajesh Mehra, "Design a Low Power Half Subtractor Using 90 μm CMOS Technology", IOSR journal of VLSI and Signal Processing, Volume :2, pp , [9] Bhuvana S, Sangeetha R, A Survey on Sequential Elements for Low Power Clocking System, Journal of Computer Applications, ISSN: , Volume 5, 10 February [10] Prashant Upadhyay, Rajesh Mehra, "Low Power Design of 64-bits Memory by using 8-T Proposed SRAM Cell", International Journal of Research and Reviews in Computer Science, Volume 1, pp , 2010, ISBN [10] Pushpa Saini, Rajesh Mehra, "A Novel Technique for Glitch and Leakage Power Reduction in CMOS VLSI Circuits", International Journal of Advanced Computer Science and Applications, Volume 3, pp , 2012, ISBN [11] Akhilesh Verma, Rajesh Mehra, "Design and Analysis of Conventional and Ratioed CMOS Logic Circuit", IOSR Journal of Engineering, Volume 2, pp , [12] Anjali Sharma, Rajesh Mehra, "Area and Power Efficient CMOS Design by hybridizing PTL and GDl Techniques", International Journal of Computer Applications, Volume 66, pp , 2013, ISBN AUTHORS BIOGRAPHY Neha Thapa is currently pursuing Regular M.E. from National Institute of Technical Teachers Training and Research, Chandigarh Sec-26. She has completed her B.Tech from Amritsar college of Engineering & Technology, Amritsar (Punjab). Dr. Rajesh Mehra is currently Associate Professor at National Institute of Technical Teachers Training & Research, Chandigarh, India. He has completed his M.E. From NITTTR, Chandigarh, India and B. Tech.from NIT, ISSN: Page 62

Design of Low Power D-Flip Flop Using True Single Phase Clock (TSPC)

Design of Low Power D-Flip Flop Using True Single Phase Clock (TSPC) Design of Low Power D-Flip Flop Using True Single Phase Clock (TSPC) Swetha Kanchimani M.Tech (VLSI Design), Mrs.Syamala Kanchimani Associate Professor, Miss.Godugu Uma Madhuri Assistant Professor, ABSTRACT:

More information

PERFORMANCE ANALYSIS OF AN EFFICIENT PULSE-TRIGGERED FLIP FLOPS FOR ULTRA LOW POWER APPLICATIONS

PERFORMANCE ANALYSIS OF AN EFFICIENT PULSE-TRIGGERED FLIP FLOPS FOR ULTRA LOW POWER APPLICATIONS Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology ISSN 2320 088X IMPACT FACTOR: 5.258 IJCSMC,

More information

ANALYSIS OF POWER REDUCTION IN 2 TO 4 LINE DECODER DESIGN USING GATE DIFFUSION INPUT TECHNIQUE

ANALYSIS OF POWER REDUCTION IN 2 TO 4 LINE DECODER DESIGN USING GATE DIFFUSION INPUT TECHNIQUE ANALYSIS OF POWER REDUCTION IN 2 TO 4 LINE DECODER DESIGN USING GATE DIFFUSION INPUT TECHNIQUE *Pranshu Sharma, **Anjali Sharma * Assistant Professor, Department of ECE AP Goyal Shimla University, Shimla,

More information

DIFFERENTIAL CONDITIONAL CAPTURING FLIP-FLOP TECHNIQUE USED FOR LOW POWER CONSUMPTION IN CLOCKING SCHEME

DIFFERENTIAL CONDITIONAL CAPTURING FLIP-FLOP TECHNIQUE USED FOR LOW POWER CONSUMPTION IN CLOCKING SCHEME DIFFERENTIAL CONDITIONAL CAPTURING FLIP-FLOP TECHNIQUE USED FOR LOW POWER CONSUMPTION IN CLOCKING SCHEME Mr.N.Vetriselvan, Assistant Professor, Dhirajlal Gandhi College of Technology Mr.P.N.Palanisamy,

More information

Power Optimization Techniques for Sequential Elements Using Pulse Triggered Flip-Flops with SVL Logic

Power Optimization Techniques for Sequential Elements Using Pulse Triggered Flip-Flops with SVL Logic IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) ISSN: 2319 4200, ISBN No. : 2319 4197 Volume 1, Issue 4 (Nov. - Dec. 2012), PP 31-36 Power Optimization Techniques for Sequential Elements Using Pulse

More information

HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP

HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP 1 R.Ramya, 2 C.Hamsaveni 1,2 PG Scholar, Department of ECE, Hindusthan Institute Of Technology,

More information

DESIGN AND SIMULATION OF LOW POWER JK FLIP-FLOP AT 45 NANO METER TECHNOLOGY

DESIGN AND SIMULATION OF LOW POWER JK FLIP-FLOP AT 45 NANO METER TECHNOLOGY DESIGN AND SIMULATION OF LOW POWER JK FLIP-FLOP AT 45 NANO METER TECHNOLOGY 1 Anshu Mittal, 2 Jagpal Singh Ubhi Department of Electronics and Communication Engineering, Sant Longowal Institute of Engineering

More information

CMOS DESIGN OF FLIP-FLOP ON 120nm

CMOS DESIGN OF FLIP-FLOP ON 120nm CMOS DESIGN OF FLIP-FLOP ON 120nm *Neelam Kumar, **Anjali Sharma *4 th Year Student, Department of EEE, AP Goyal Shimla University Shimla, India. neelamkumar991@gmail.com ** Assistant Professor, Department

More information

Modifying the Scan Chains in Sequential Circuit to Reduce Leakage Current

Modifying the Scan Chains in Sequential Circuit to Reduce Leakage Current IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 3, Issue 1 (Sep. Oct. 2013), PP 01-09 e-issn: 2319 4200, p-issn No. : 2319 4197 Modifying the Scan Chains in Sequential Circuit to Reduce Leakage

More information

DESIGN AND ANALYSIS OF ADDER CIRCUITS USING LEAR SLEEP TECHNIQUE IN CMOS TECHNOLOGIES

DESIGN AND ANALYSIS OF ADDER CIRCUITS USING LEAR SLEEP TECHNIQUE IN CMOS TECHNOLOGIES AND ANALYSIS OF ADDER CIRCUITS USING LEAR SLEEP TECHNIQUE IN CMOS TECHNOLOGIES Aishwarya.S #1, Ravi.T *2, Kannan.V #3 # Department of ECE, Jeppiaar Institute of Technology, Chennai,Tamilnadu,India. 1 s.aishwaryavlsi@gmail.com

More information

A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY

A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY Ms. Chaitali V. Matey 1, Ms. Shraddha K. Mendhe 2, Mr. Sandip A.

More information

Power Optimization by Using Multi-Bit Flip-Flops

Power Optimization by Using Multi-Bit Flip-Flops Volume-4, Issue-5, October-2014, ISSN No.: 2250-0758 International Journal of Engineering and Management Research Page Number: 194-198 Power Optimization by Using Multi-Bit Flip-Flops D. Hazinayab 1, K.

More information

CMOS Design Analysis of 4 Bit Shifters 1 Baljot Kaur, M.E Scholar, Department of Electronics & Communication Engineering, National

CMOS Design Analysis of 4 Bit Shifters 1 Baljot Kaur, M.E Scholar, Department of Electronics & Communication Engineering, National CMOS Design Analysis of 4 Bit Shifters 1 Baljot Kaur, M.E Scholar, Department of Electronics & Communication Engineering, National Institute of Technical Teachers Training & Research, Chandigarh, UT, (India),

More information

An Efficient Power Saving Latch Based Flip- Flop Design for Low Power Applications

An Efficient Power Saving Latch Based Flip- Flop Design for Low Power Applications An Efficient Power Saving Latch Based Flip- Flop Design for Low Power Applications N.KIRAN 1, K.AMARNATH 2 1 P.G Student, VRS & YRN College of Engineering & Technology, Vodarevu Road, Chirala 2 HOD & Professor,

More information

A Novel Pass Transistor Logic Based Pulse Triggered Flip-flop with Conditional Enhancement

A Novel Pass Transistor Logic Based Pulse Triggered Flip-flop with Conditional Enhancement A Novel Pass Transistor Logic Based Pulse Triggered Flip-flop with Conditional Enhancement Shakthipriya.R 1, Kirthika.N 2 1 PG Scholar, Department of ECE-PG, Sri Ramakrishna Engineering College, Coimbatore,

More information

Dual Edge Adaptive Pulse Triggered Flip-Flop for a High Speed and Low Power Applications

Dual Edge Adaptive Pulse Triggered Flip-Flop for a High Speed and Low Power Applications International Journal of Scientific and Research Publications, Volume 5, Issue 10, October 2015 1 Dual Edge Adaptive Pulse Triggered Flip-Flop for a High Speed and Low Power Applications S. Harish*, Dr.

More information

LOW POWER DOUBLE EDGE PULSE TRIGGERED FLIP FLOP DESIGN

LOW POWER DOUBLE EDGE PULSE TRIGGERED FLIP FLOP DESIGN INTERNATIONAL JOURNAL OF RESEARCH IN COMPUTER APPLICATIONS AND ROBOTICS ISSN 2320-7345 LOW POWER DOUBLE EDGE PULSE TRIGGERED FLIP FLOP DESIGN G.Swetha 1, T.Krishna Murthy 2 1 Student, SVEC (Autonomous),

More information

International Journal of Advancements in Research & Technology, Volume 2, Issue5, May ISSN

International Journal of Advancements in Research & Technology, Volume 2, Issue5, May ISSN International Journal of Advancements in Research & Technology, Volume 2, Issue5, May-2013 5 Studying Impact of Various Leakage Current Reduction Techniques on Different D-Flip Flop Architectures Anbarasu.W,

More information

Design and Analysis of Semi-Transparent Flip-Flops for high speed and Low Power Applications in Networks

Design and Analysis of Semi-Transparent Flip-Flops for high speed and Low Power Applications in Networks IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331 PP 58-64 www.iosrjournals.org Design and Analysis of Semi-Transparent Flip-Flops for high speed and

More information

DESIGN AND IMPLEMENTATION OF SYNCHRONOUS 4-BIT UP COUNTER USING 180NM CMOS PROCESS TECHNOLOGY

DESIGN AND IMPLEMENTATION OF SYNCHRONOUS 4-BIT UP COUNTER USING 180NM CMOS PROCESS TECHNOLOGY DESIGN AND IMPLEMENTATION OF SYNCHRONOUS 4-BIT UP COUNTER USING 180NM CMOS PROCESS TECHNOLOGY Yogita Hiremath 1, Akalpita L. Kulkarni 2, J. S. Baligar 3 1 PG Student, Dept. of ECE, Dr.AIT, Bangalore, Karnataka,

More information

Design And Analysis of Clocked Subsystem Elements Using Leakage Reduction Technique

Design And Analysis of Clocked Subsystem Elements Using Leakage Reduction Technique Design And Analysis of Clocked Subsystem Elements Using Leakage Reduction Technique Sanjay Singh, S.K. Singh, Mahesh Kumar Singh, Raj Kumar Sagar Abstract As the density and operating speed of CMOS VLSI

More information

Area Efficient Level Sensitive Flip-Flops A Performance Comparison

Area Efficient Level Sensitive Flip-Flops A Performance Comparison Area Efficient Level Sensitive Flip-Flops A Performance Comparison Tripti Dua, K. G. Sharma*, Tripti Sharma ECE Department, FET, Mody University of Science & Technology, Lakshmangarh, Rajasthan, India

More information

Power Efficient Design of Sequential Circuits using OBSC and RTPG Integration

Power Efficient Design of Sequential Circuits using OBSC and RTPG Integration Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 2, Issue. 9, September 2013,

More information

FP 12.4: A CMOS Scheme for 0.5V Supply Voltage with Pico-Ampere Standby Current

FP 12.4: A CMOS Scheme for 0.5V Supply Voltage with Pico-Ampere Standby Current FP 12.4: A CMOS Scheme for 0.5V Supply Voltage with Pico-Ampere Standby Current Hiroshi Kawaguchi, Ko-ichi Nose, Takayasu Sakurai University of Tokyo, Tokyo, Japan Recently, low-power requirements are

More information

Modified Ultra-Low Power NAND Based Multiplexer and Flip-Flop

Modified Ultra-Low Power NAND Based Multiplexer and Flip-Flop IJSTE - International Journal of Science Technology & Engineering Volume 2 Issue 06 December 2015 ISSN (online): 2349-784X Modified Ultra-Low Power NAND Based Multiplexer and Flip-Flop Amit Saraswat Chanpreet

More information

Abstract 1. INTRODUCTION. Cheekati Sirisha, IJECS Volume 05 Issue 10 Oct., 2016 Page No Page 18532

Abstract 1. INTRODUCTION. Cheekati Sirisha, IJECS Volume 05 Issue 10 Oct., 2016 Page No Page 18532 www.ijecs.in International Journal Of Engineering And Computer Science ISSN: 2319-7242 Volume 5 Issue 10 Oct. 2016, Page No. 18532-18540 Pulsed Latches Methodology to Attain Reduced Power and Area Based

More information

A Power Efficient Flip Flop by using 90nm Technology

A Power Efficient Flip Flop by using 90nm Technology A Power Efficient Flip Flop by using 90nm Technology Mrs. Y. Lavanya Associate Professor, ECE Department, Ramachandra College of Engineering, Eluru, W.G (Dt.), A.P, India. Email: lavanya.rcee@gmail.com

More information

Leakage Current Reduction in Sequential Circuits by Modifying the Scan Chains

Leakage Current Reduction in Sequential Circuits by Modifying the Scan Chains eakage Current Reduction in Sequential s by Modifying the Scan Chains Afshin Abdollahi University of Southern California (3) 592-3886 afshin@usc.edu Farzan Fallah Fujitsu aboratories of America (48) 53-4544

More information

Fully Static and Compressed Topology Using Power Saving in Digital circuits for Reduced Transistor Flip flop

Fully Static and Compressed Topology Using Power Saving in Digital circuits for Reduced Transistor Flip flop Fully Static and Compressed Topology Using Power Saving in Digital circuits for Reduced Transistor Flip flop 1 S.Mounika & 2 P.Dhaneef Kumar 1 M.Tech, VLSIES, GVIC college, Madanapalli, mounikarani3333@gmail.com

More information

Improve Performance of Low-Power Clock Branch Sharing Double-Edge Triggered Flip-Flop

Improve Performance of Low-Power Clock Branch Sharing Double-Edge Triggered Flip-Flop Sumant Kumar et al. 2016, Volume 4 Issue 1 ISSN (Online): 2348-4098 ISSN (Print): 2395-4752 International Journal of Science, Engineering and Technology An Open Access Journal Improve Performance of Low-Power

More information

Novel Low Power and Low Transistor Count Flip-Flop Design with. High Performance

Novel Low Power and Low Transistor Count Flip-Flop Design with. High Performance Novel Low Power and Low Transistor Count Flip-Flop Design with High Performance Imran Ahmed Khan*, Dr. Mirza Tariq Beg Department of Electronics and Communication, Jamia Millia Islamia, New Delhi, India

More information

High Performance Dynamic Hybrid Flip-Flop For Pipeline Stages with Methodical Implanted Logic

High Performance Dynamic Hybrid Flip-Flop For Pipeline Stages with Methodical Implanted Logic High Performance Dynamic Hybrid Flip-Flop For Pipeline Stages with Methodical Implanted Logic K.Vajida Tabasum, K.Chandra Shekhar Abstract-In this paper we introduce a new high performance dynamic hybrid

More information

New Single Edge Triggered Flip-Flop Design with Improved Power and Power Delay Product for Low Data Activity Applications

New Single Edge Triggered Flip-Flop Design with Improved Power and Power Delay Product for Low Data Activity Applications American-Eurasian Journal of Scientific Research 8 (1): 31-37, 013 ISSN 1818-6785 IDOSI Publications, 013 DOI: 10.589/idosi.aejsr.013.8.1.8366 New Single Edge Triggered Flip-Flop Design with Improved Power

More information

DESIGN OF DOUBLE PULSE TRIGGERED FLIP-FLOP BASED ON SIGNAL FEED THROUGH SCHEME

DESIGN OF DOUBLE PULSE TRIGGERED FLIP-FLOP BASED ON SIGNAL FEED THROUGH SCHEME Scientific Journal Impact Factor (SJIF): 1.711 e-issn: 2349-9745 p-issn: 2393-8161 International Journal of Modern Trends in Engineering and Research www.ijmter.com DESIGN OF DOUBLE PULSE TRIGGERED FLIP-FLOP

More information

Design And Analysis Of Implicit Pulsed Double Edge Triggered Clocked Latch For Low Power Applications

Design And Analysis Of Implicit Pulsed Double Edge Triggered Clocked Latch For Low Power Applications Design And Analysis Of Implicit Pulsed Double Edge Triggered Clocked Latch For Low Power Applications ¹GABARIYALA SABADINI C ²Dr. P. MANIRAJ KUMAR ³Dr. P.NAGARAJAN 1. PG scholar, VLSI design, Department

More information

P.Akila 1. P a g e 60

P.Akila 1. P a g e 60 Designing Clock System Using Power Optimization Techniques in Flipflop P.Akila 1 Assistant Professor-I 2 Department of Electronics and Communication Engineering PSR Rengasamy college of engineering for

More information

A Low-Power CMOS Flip-Flop for High Performance Processors

A Low-Power CMOS Flip-Flop for High Performance Processors A Low-Power CMOS Flip-Flop for High Performance Processors Preetisudha Meher, Kamala Kanta Mahapatra Dept. of Electronics and Telecommunication National Institute of Technology Rourkela, India Preetisudha1@gmail.com,

More information

LFSR Counter Implementation in CMOS VLSI

LFSR Counter Implementation in CMOS VLSI LFSR Counter Implementation in CMOS VLSI Doshi N. A., Dhobale S. B., and Kakade S. R. Abstract As chip manufacturing technology is suddenly on the threshold of major evaluation, which shrinks chip in size

More information

LEAKAGE POWER REDUCTION AND ANALYSIS OF CMOS SEQUENTIAL CIRCUITS

LEAKAGE POWER REDUCTION AND ANALYSIS OF CMOS SEQUENTIAL CIRCUITS LEAKAGE POWER REDUCTION AND ANALYSIS OF CMOS SEQUENTIAL CIRCUITS M. Janaki Rani 1 and S. Malarkann 2 1 Research Scholar, Sathyabama University, Chennai -119, Tamilnadu janakiranimathi@gmail.com 2 Principal,

More information

High speed, Low power N/ (N+1) prescaler using TSPC and E-TSPC: A survey Nemitha B 1, Pradeep Kumar B.P 2

High speed, Low power N/ (N+1) prescaler using TSPC and E-TSPC: A survey Nemitha B 1, Pradeep Kumar B.P 2 High speed, Low power N/ (N+1) prescaler using TSPC and E-TSPC: A survey Nemitha B 1, Pradeep Kumar B.P 2 1 PG scholar, Dept of ECE, AIT, Tumkur, Karnataka, India 2 Asst.professor, Dept of ECE, AIT, Tumkur,

More information

Analysis of Digitally Controlled Delay Loop-NAND Gate for Glitch Free Design

Analysis of Digitally Controlled Delay Loop-NAND Gate for Glitch Free Design Analysis of Digitally Controlled Delay Loop-NAND Gate for Glitch Free Design S. Karpagambal, PG Scholar, VLSI Design, Sona College of Technology, Salem, India. e-mail:karpagambals.nsit@gmail.com M.S. Thaen

More information

International Journal Of Global Innovations -Vol.6, Issue.I Paper Id: SP-V6-I1-P46 ISSN Online:

International Journal Of Global Innovations -Vol.6, Issue.I Paper Id: SP-V6-I1-P46 ISSN Online: ANALYSIS OF LOW-POWER AND AREA-EFFICIENT SHIFT REGISTERS USING PULSED LATCH #1 GUNTI SUMANJALI, M.Tech Student, #2 V.SRIDHAR, Assistant Professor, Dept of ECE, MOTHER THERESSA COLLEGE OF ENGINEERING &

More information

data and is used in digital networks and storage devices. CRC s are easy to implement in binary

data and is used in digital networks and storage devices. CRC s are easy to implement in binary Introduction Cyclic redundancy check (CRC) is an error detecting code designed to detect changes in transmitted data and is used in digital networks and storage devices. CRC s are easy to implement in

More information

Gated Driver Tree Based Power Optimized Multi-Bit Flip-Flops

Gated Driver Tree Based Power Optimized Multi-Bit Flip-Flops International Journal of Emerging Engineering Research and Technology Volume 2, Issue 4, July 2014, PP 250-254 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) Gated Driver Tree Based Power Optimized Multi-Bit

More information

EFFICIENT POWER REDUCTION OF TOPOLOGICALLY COMPRESSED FLIP-FLOP AND GDI BASED FLIP FLOP

EFFICIENT POWER REDUCTION OF TOPOLOGICALLY COMPRESSED FLIP-FLOP AND GDI BASED FLIP FLOP EFFICIENT POWER REDUCTION OF TOPOLOGICALLY COMPRESSED FLIP-FLOP AND GDI BASED FLIP FLOP S.BANUPRIYA 1, R.GOWSALYA 2, M.KALEESWARI 3, B.DHANAM 4 1, 2, 3 UG Scholar, 4 Asst.Professor/ECE 1, 2, 3, 4 P.S.R.RENGASAMY

More information

GLITCH FREE NAND BASED DCDL IN PHASE LOCKED LOOP APPLICATION

GLITCH FREE NAND BASED DCDL IN PHASE LOCKED LOOP APPLICATION GLITCH FREE NAND BASED DCDL IN PHASE LOCKED LOOP APPLICATION S. Karpagambal 1 and M. S. Thaen Malar 2 1 VLSI Design, Sona College of Technology, Salem, India 2 Department of Electronics and Communication

More information

Optimized Design and Simulation of 4-Bit Johnson Ring Counter Using 90nm Technology

Optimized Design and Simulation of 4-Bit Johnson Ring Counter Using 90nm Technology Optimized Design and Simulation of 4-Bit Johnson Ring Counter Using 90nm Technology Abhishek Rai 1, Rajesh Mehra 2 Electronics and Communication Engineering Department 1, 2, ME Scholar 1, Associate Professor

More information

Level Converting Retention Flip-Flop for Low Standby Power Using LSSR Technique

Level Converting Retention Flip-Flop for Low Standby Power Using LSSR Technique IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 6, Issue 5, Ver. II (Sep. - Oct. 2016), PP 01-06 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Level Converting Retention

More information

AN EFFICIENT LOW POWER DESIGN FOR ASYNCHRONOUS DATA SAMPLING IN DOUBLE EDGE TRIGGERED FLIP-FLOPS

AN EFFICIENT LOW POWER DESIGN FOR ASYNCHRONOUS DATA SAMPLING IN DOUBLE EDGE TRIGGERED FLIP-FLOPS AN EFFICIENT LOW POWER DESIGN FOR ASYNCHRONOUS DATA SAMPLING IN DOUBLE EDGE TRIGGERED FLIP-FLOPS NINU ABRAHAM 1, VINOJ P.G 2 1 P.G Student [VLSI & ES], SCMS School of Engineering & Technology, Cochin,

More information

Noise Margin in Low Power SRAM Cells

Noise Margin in Low Power SRAM Cells Noise Margin in Low Power SRAM Cells S. Cserveny, J. -M. Masgonty, C. Piguet CSEM SA, Neuchâtel, CH stefan.cserveny@csem.ch Abstract. Noise margin at read, at write and in stand-by is analyzed for the

More information

EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP. Due İLKER KALYONCU, 10043

EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP. Due İLKER KALYONCU, 10043 EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP Due 16.05. İLKER KALYONCU, 10043 1. INTRODUCTION: In this project we are going to design a CMOS positive edge triggered master-slave

More information

INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) Design and Analysis of CNTFET Based D Flip-Flop

INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) Design and Analysis of CNTFET Based D Flip-Flop INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) International Journal of Electronics and Communication Engineering & Technology (IJECET), ISSN 0976 6464(Print)

More information

Research Article Ultra Low Power, High Performance Negative Edge Triggered ECRL Energy Recovery Sequential Elements with Power Clock Gating

Research Article Ultra Low Power, High Performance Negative Edge Triggered ECRL Energy Recovery Sequential Elements with Power Clock Gating Research Journal of Applied Sciences, Engineering and Technology 7(16): 3312-3319, 2014 DOI:10.19026/rjaset.7.676 ISSN: 2040-7459; e-issn: 2040-7467 2014 Maxwell Scientific Publication Corp. Submitted:

More information

DESIGN OF LOW POWER TEST PATTERN GENERATOR

DESIGN OF LOW POWER TEST PATTERN GENERATOR International Journal of Electronics, Communication & Instrumentation Engineering Research and Development (IJECIERD) ISSN(P): 2249-684X; ISSN(E): 2249-7951 Vol. 4, Issue 1, Feb 2014, 59-66 TJPRC Pvt.

More information

Design of a Low Power Four-Bit Binary Counter Using Enhancement Type Mosfet

Design of a Low Power Four-Bit Binary Counter Using Enhancement Type Mosfet Design of a Low Power Four-Bit Binary Counter Using Enhancement Type Mosfet Praween Sinha Department of Electronics & Communication Engineering Maharaja Agrasen Institute Of Technology, Rohini sector -22,

More information

Parametric Optimization of Clocked Redundant Flip-Flop Using Transmission Gate

Parametric Optimization of Clocked Redundant Flip-Flop Using Transmission Gate Parametric Optimization of Clocked Redundant Flip-Flop Using Transmission Gate Sapna Sadhwani Student, Department of ECE Lakshmi Narain College of Technology Bhopal, India srsadhwani@gmail.comm Abstract

More information

Design of Low Power and Area Efficient Pulsed Latch Based Shift Register

Design of Low Power and Area Efficient Pulsed Latch Based Shift Register Design of Low Power and Area Efficient Pulsed Latch Based Shift Register 1 ANUSHA KORE, 2 Dr. S.A.MUZEER Department of ECE Megha Institute of Engineering & Technology For women s Edulabad, Ghatkesar mandal,

More information

Clock Branch Shearing Flip Flop Based on Signal Feed Through Technique

Clock Branch Shearing Flip Flop Based on Signal Feed Through Technique Clock Branch Shearing Flip Flop Based on Signal Feed Through Technique Pragati Gupta 1, Dr. Rajesh Mehra 2 M.E. Scholar 1, Associate Professor Department of Electronic and Communication Engineering NITTTR,

More information

Single Edge Triggered Static D Flip-Flops: Performance Comparison

Single Edge Triggered Static D Flip-Flops: Performance Comparison Single Edge Triggered Static D Flip-Flops: Performance Comparison Kanchan Sharma K.G. Sharma Tripti Sharma ECE Department, FET, MUST,Lakshmangarh, Rajasthan, India Sharmakanchan746@ gmail.com Abstract

More information

Implementation of High Speed, Low Power NAND Gate-based JK Flip-Flop using Modified GDI Technique in 130 nm Technology

Implementation of High Speed, Low Power NAND Gate-based JK Flip-Flop using Modified GDI Technique in 130 nm Technology International Journal of Engineering and Technical Research (IJETR) ISSN: 2321-0869 (O) 2454-4698 (P), Volume-5, Issue-2, June 2016 Implementation of High Speed, Low Power NAND Gate-based JK Flip-Flop

More information

UNIT III COMBINATIONAL AND SEQUENTIAL CIRCUIT DESIGN

UNIT III COMBINATIONAL AND SEQUENTIAL CIRCUIT DESIGN UNIT III COMBINATIONAL AND SEQUENTIAL CIRCUIT DESIGN Part A (2 Marks) 1. What is a BiCMOS? BiCMOS is a type of integrated circuit that uses both bipolar and CMOS technologies. 2. What are the problems

More information

Design and Analysis of a Linear Feedback Shift Register with Reduced Leakage Power

Design and Analysis of a Linear Feedback Shift Register with Reduced Leakage Power Design and Analysis of a Linear Feedback Shift Register with Reduced Leakage Power M. Janaki Rani Research scholar, Sathyabama University, Chennai, India S. Malarkkan Principal, ManakulaVinayagar Institute

More information

Dual Edge Triggered Flip-Flops Based On C-Element Using Dual Sleep and Dual Slack Techniques

Dual Edge Triggered Flip-Flops Based On C-Element Using Dual Sleep and Dual Slack Techniques IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 12, Issue 5, Ver. I (Sep.- Oct. 2017), PP 85-92 www.iosrjournals.org Dual Edge Triggered

More information

A Novel Approach for Auto Clock Gating of Flip-Flops

A Novel Approach for Auto Clock Gating of Flip-Flops A Novel Approach for Auto Clock Gating of Flip-Flops Kakarla Sandhya Rani 1, Krishna Prasad Satamraju 2 1 P.G Scholar, Department of ECE, Vasireddy Venkatadri Institute of Technology, Nambur, Guntur (dt),

More information

LOW-POWER CLOCK DISTRIBUTION IN EDGE TRIGGERED FLIP-FLOP

LOW-POWER CLOCK DISTRIBUTION IN EDGE TRIGGERED FLIP-FLOP LOW-POWER CLOCK DISTRIBUTION IN EDGE TRIGGERED FLIP-FLOP Rahul Yadav 1, Rahul Shrivastava 2, Vijay Yadav 3 1 M.Tech Scholar, 2 Asst. Prof., 3 Asst. Prof Department of Electronics and Communication Engineering,

More information

Design of Low Power Universal Shift Register

Design of Low Power Universal Shift Register Design of Low Power Universal Shift Register 1 Saranya.M, 2 V.Vijayakumar, 3 T.Ravi, 4 V.Kannan 1 M.Tech-VLSI design, Sathyabama University, Jeppiaar Nagar, Rajiv Gandhi Salai, Chennai 119 2 Assistant

More information

Power Analysis of Double Edge Triggered Flip-Flop using Signal Feed-Through Technique

Power Analysis of Double Edge Triggered Flip-Flop using Signal Feed-Through Technique Power Analysis of Double Edge Triggered Flip-Flop using Signal Feed-Through Technique Pragati Gupta 1, Dr. Rajesh Mehra 2 M.E. Scholar 1, Associate Professor 2 Department of Electronic and Communication

More information

Low Power D Flip Flop Using Static Pass Transistor Logic

Low Power D Flip Flop Using Static Pass Transistor Logic Low Power D Flip Flop Using Static Pass Transistor Logic 1 T.SURIYA PRABA, 2 R.MURUGASAMI PG SCHOLAR, NANDHA ENGINEERING COLLEGE, ERODE, INDIA Abstract: Minimizing power consumption is vitally important

More information

A Novel Low-overhead Delay Testing Technique for Arbitrary Two-Pattern Test Application

A Novel Low-overhead Delay Testing Technique for Arbitrary Two-Pattern Test Application A Novel Low-overhead elay Testing Technique for Arbitrary Two-Pattern Test Application Swarup Bhunia, Hamid Mahmoodi, Arijit Raychowdhury, and Kaushik Roy School of Electrical and Computer Engineering,

More information

A Modified Static Contention Free Single Phase Clocked Flip-flop Design for Low Power Applications

A Modified Static Contention Free Single Phase Clocked Flip-flop Design for Low Power Applications JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.8, NO.5, OCTOBER, 08 ISSN(Print) 598-657 https://doi.org/57/jsts.08.8.5.640 ISSN(Online) -4866 A Modified Static Contention Free Single Phase Clocked

More information

A Low Power Delay Buffer Using Gated Driver Tree

A Low Power Delay Buffer Using Gated Driver Tree IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) ISSN: 2319 4200, ISBN No. : 2319 4197 Volume 1, Issue 4 (Nov. - Dec. 2012), PP 26-30 A Low Power Delay Buffer Using Gated Driver Tree Kokkilagadda

More information

Design of a High Frequency Dual Modulus Prescaler using Efficient TSPC Flip Flop using 180nm Technology

Design of a High Frequency Dual Modulus Prescaler using Efficient TSPC Flip Flop using 180nm Technology Design of a High Frequency Dual Modulus Prescaler using Efficient TSPC Flip Flop using 180nm Technology Divya shree.m 1, H. Venkatesh kumar 2 PG Student, Dept. of ECE, Nagarjuna College of Engineering

More information

An FPGA Implementation of Shift Register Using Pulsed Latches

An FPGA Implementation of Shift Register Using Pulsed Latches An FPGA Implementation of Shift Register Using Pulsed Latches Shiny Panimalar.S, T.Nisha Priscilla, Associate Professor, Department of ECE, MAMCET, Tiruchirappalli, India PG Scholar, Department of ECE,

More information

Low-Power and Area-Efficient Shift Register Using Pulsed Latches

Low-Power and Area-Efficient Shift Register Using Pulsed Latches Low-Power and Area-Efficient Shift Register Using Pulsed Latches G.Sunitha M.Tech, TKR CET. P.Venkatlavanya, M.Tech Associate Professor, TKR CET. Abstract: This paper proposes a low-power and area-efficient

More information

Low Power Approach of Clock Gating in Synchronous System like FIFO: A Novel Clock Gating Approach and Comparative Analysis

Low Power Approach of Clock Gating in Synchronous System like FIFO: A Novel Clock Gating Approach and Comparative Analysis Low Power Approach of Clock Gating in Synchronous System like FIFO: A Novel Clock Gating Approach and Comparative Analysis Abstract- A new technique of clock is presented to reduce dynamic power consumption.

More information

LOW POWER AND AREA-EFFICIENT SHIFT REGISTER USING PULSED LATCHES

LOW POWER AND AREA-EFFICIENT SHIFT REGISTER USING PULSED LATCHES LOW POWER AND AREA-EFFICIENT SHIFT REGISTER USING PULSED LATCHES Mr. Nat Raj M.Tech., (Ph.D) Associate Professor ECE Department ST.Mary s College Of Engineering and Technology(Formerly ASEC),Patancheru

More information

Design of a Low Power and Area Efficient Flip Flop With Embedded Logic Module

Design of a Low Power and Area Efficient Flip Flop With Embedded Logic Module IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 10, Issue 6, Ver. II (Nov - Dec.2015), PP 40-50 www.iosrjournals.org Design of a Low Power

More information

Design and Analysis of Custom Clock Buffers and a D Flip-Flop for Low Swing Clock Distribution Networks. A Thesis presented.

Design and Analysis of Custom Clock Buffers and a D Flip-Flop for Low Swing Clock Distribution Networks. A Thesis presented. Design and Analysis of Custom Clock Buffers and a D Flip-Flop for Low Swing Clock Distribution Networks A Thesis presented by Mallika Rathore to The Graduate School in Partial Fulfillment of the Requirements

More information

LOW POWER LEVEL CONVERTING FLIP-FLOP DESIGN BY USING CONDITIONAL DISCHARGE TECHNIQUE

LOW POWER LEVEL CONVERTING FLIP-FLOP DESIGN BY USING CONDITIONAL DISCHARGE TECHNIQUE LOW POWER LEVEL CONVERTING FLIP-FLOP DESIGN BY USING CONDITIONAL DISCHARGE TECHNIQUE Keerthana S Assistant Professor, Department of Electronics and Telecommunication Engineering Karpagam College of Engineering

More information

PERFORMANCE ANALYSIS OF POWER GATING TECHNIQUES IN 4-BIT SISO SHIFT REGISTER CIRCUITS

PERFORMANCE ANALYSIS OF POWER GATING TECHNIQUES IN 4-BIT SISO SHIFT REGISTER CIRCUITS Journal of Engineering Science and Technology Vol. 12, No. 12 (2017) 3203-3214 School of Engineering, Taylor s University PERFORMANCE ANALYSIS OF POWER GATING TECHNIQUES IN 4-BIT SISO SHIFT REGISTER CIRCUITS

More information

Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky,

Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky, Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky, tomott}@berkeley.edu Abstract With the reduction of feature sizes, more sources

More information

A Reduced Clock Power Flip-Flop for Sequential Circuits

A Reduced Clock Power Flip-Flop for Sequential Circuits International Journal of Engineering and Advanced Technology (IJEAT) A Reduced Clock Power Flip-Flop for Sequential Circuits Bala Bharat, R. Ramana Reddy Abstract In most Very Large Scale Integration digital

More information

An efficient Sense amplifier based Flip-Flop design

An efficient Sense amplifier based Flip-Flop design An efficient Sense amplifier based Flip-Flop design Rajendra Prasad and Narayan Krishan Vyas Abstract An efficient approach for sense amplifier based flip-flop design has been introduced in this paper.

More information

Energy Recovery Clocking Scheme and Flip-Flops for Ultra Low-Energy Applications

Energy Recovery Clocking Scheme and Flip-Flops for Ultra Low-Energy Applications Energy Recovery Clocking Scheme and Flip-Flops for Ultra Low-Energy Applications Matthew Cooke, Hamid Mahmoodi-Meimand, Kaushik Roy School of Electrical and Computer Engineering, Purdue University, West

More information

Design and analysis of RCA in Subthreshold Logic Circuits Using AFE

Design and analysis of RCA in Subthreshold Logic Circuits Using AFE Design and analysis of RCA in Subthreshold Logic Circuits Using AFE 1 MAHALAKSHMI M, 2 P.THIRUVALAR SELVAN PG Student, VLSI Design, Department of ECE, TRPEC, Trichy Abstract: The present scenario of the

More information

Design of Pulse Triggered Flip Flop Using Conditional Pulse Enhancement Technique

Design of Pulse Triggered Flip Flop Using Conditional Pulse Enhancement Technique Design of Pulse Triggered Flip Flop Using Conditional Pulse Enhancement Technique NAVEENASINDHU P 1, MANIKANDAN N 2 1 M.E VLSI Design, TRP Engineering College (SRM GROUP), Tiruchirappalli 621 105, India,2,

More information

II. ANALYSIS I. INTRODUCTION

II. ANALYSIS I. INTRODUCTION Characterizing Dynamic and Leakage Power Behavior in Flip-Flops R. Ramanarayanan, N. Vijaykrishnan and M. J. Irwin Dept. of Computer Science and Engineering Pennsylvania State University, PA 1682 Abstract

More information

Comparative Analysis of low area and low power D Flip-Flop for Different Logic Values

Comparative Analysis of low area and low power D Flip-Flop for Different Logic Values The International Journal Of Engineering And Science (IJES) Volume 3 Issue 8 Pages 15-19 2014 ISSN (e): 2319 1813 ISSN (p): 2319 1805 Comparative Analysis of low area and low power D Flip-Flop for Different

More information

Combining Dual-Supply, Dual-Threshold and Transistor Sizing for Power Reduction

Combining Dual-Supply, Dual-Threshold and Transistor Sizing for Power Reduction Combining Dual-Supply, Dual-Threshold and Transistor Sizing for Reduction Stephanie Augsburger 1, Borivoje Nikolić 2 1 Intel Corporation, Enterprise Processors Division, Santa Clara, CA, USA. 2 Department

More information

Low Power High Speed Voltage Level Shifter for Sub- Threshold Operations

Low Power High Speed Voltage Level Shifter for Sub- Threshold Operations International Journal of Innovative Research in Electronics and Communications (IJIREC) Volume 1, Issue 5, August 2014, PP 34-41 ISSN 2349-4042 (Print) & ISSN 2349-4050 (Online) www.arcjournals.org Low

More information

VLSI Design: 3) Explain the various MOSFET Capacitances & their significance. 4) Draw a CMOS Inverter. Explain its transfer characteristics

VLSI Design: 3) Explain the various MOSFET Capacitances & their significance. 4) Draw a CMOS Inverter. Explain its transfer characteristics 1) Explain why & how a MOSFET works VLSI Design: 2) Draw Vds-Ids curve for a MOSFET. Now, show how this curve changes (a) with increasing Vgs (b) with increasing transistor width (c) considering Channel

More information

DESIGN OF EFFICIENT SHIFT REGISTERS USING PULSED LATCHES

DESIGN OF EFFICIENT SHIFT REGISTERS USING PULSED LATCHES DESIGN OF EFFICIENT SHIFT REGISTERS USING PULSED LATCHES 1 M. Ajay, 2 G.Srihari, 1 PG Scholar,Dept of ECE, Sreenivasa Institute of Technology and Management Studies (Autonomous) Murkambattu, Chittoor,

More information

LOW POWER & AREA EFFICIENT LAYOUT ANALYSIS OF CMOS ENCODER

LOW POWER & AREA EFFICIENT LAYOUT ANALYSIS OF CMOS ENCODER 90 LOW POWER & AREA EFFICIENT LAYOUT ANALYSIS OF CMOS ENCODER Tanuj Yadav Electronics & Communication department National Institute of Teacher s Training and Research Chandigarh ABSTRACT An Encoder is

More information

Minimization of Power for the Design of an Optimal Flip Flop

Minimization of Power for the Design of an Optimal Flip Flop Minimization of Power for the Design of an Optimal Flip Flop Kahkashan Ali #1, Tarana Afrin Chandel #2 #1 M.TECH Student, #2 Associate Professor, 1,2 Department of ECE, Integral University, Lucknow, INDIA

More information

EFFICIENT DESIGN OF SHIFT REGISTER FOR AREA AND POWER REDUCTION USING PULSED LATCH

EFFICIENT DESIGN OF SHIFT REGISTER FOR AREA AND POWER REDUCTION USING PULSED LATCH EFFICIENT DESIGN OF SHIFT REGISTER FOR AREA AND POWER REDUCTION USING PULSED LATCH 1 Kalaivani.S, 2 Sathyabama.R 1 PG Scholar, 2 Professor/HOD Department of ECE, Government College of Technology Coimbatore,

More information

140 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 12, NO. 2, FEBRUARY 2004

140 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 12, NO. 2, FEBRUARY 2004 140 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 12, NO. 2, FEBRUARY 2004 Leakage Current Reduction in CMOS VLSI Circuits by Input Vector Control Afshin Abdollahi, Farzan Fallah,

More information

SYNCHRONOUS DERIVED CLOCK AND SYNTHESIS OF LOW POWER SEQUENTIAL CIRCUITS *

SYNCHRONOUS DERIVED CLOCK AND SYNTHESIS OF LOW POWER SEQUENTIAL CIRCUITS * SYNCHRONOUS DERIVED CLOCK AND SYNTHESIS OF LOW POWER SEUENTIAL CIRCUITS * Wu Xunwei (Department of Electronic Engineering Hangzhou University Hangzhou 328) ing Wu Massoud Pedram (Department of Electrical

More information

Power Optimization of Linear Feedback Shift Register (LFSR) using Power Gating

Power Optimization of Linear Feedback Shift Register (LFSR) using Power Gating Power Optimization of Linear Feedback Shift Register (LFSR) using Rebecca Angela Fernandes 1, Niju Rajan 2 1Student, Dept. of E&C Engineering, N.M.A.M Institute of Technology, Karnataka, India 2Assistant

More information

Memory elements. Topics. Memory element terminology. Variations in memory elements. Clock terminology. Memory element parameters. clock.

Memory elements. Topics. Memory element terminology. Variations in memory elements. Clock terminology. Memory element parameters. clock. Topics! Memory elements.! Basics of sequential machines. Memory elements! Stores a value as controlled by clock.! May have load signal, etc.! In CMOS, memory is created by:! capacitance (dynamic);! feedback

More information

Design of New Dual Edge Triggered Sense Amplifier Flip-Flop with Low Area and Power Efficient

Design of New Dual Edge Triggered Sense Amplifier Flip-Flop with Low Area and Power Efficient Design of New Dual Edge Triggered Sense Amplifier Flip-Flop with Low Area and Power Efficient Ms. Sheik Shabeena 1, R.Jyothirmai 2, P.Divya 3, P.Kusuma 4, Ch.chiranjeevi 5 1 Assistant Professor, 2,3,4,5

More information