Low Cost At-Speed Testing using On-Product Clock Generation Compatible with Test Compression

Size: px
Start display at page:

Download "Low Cost At-Speed Testing using On-Product Clock Generation Compatible with Test Compression"

Transcription

1 Low Cost At-Speed Testing using On-Product Clock Generation Compatible with Test Compression B. Keller, K. Chakravadhanula, B. Foutz, V. Chickermane, R. Malneedi, T. Snethen Cadence Design Systems, USA V. yengar, D. Lackey, G. Grise BM Corp., Burlington, Vermont, USA Abstract At-speed testing with functional speed clocks is often done using On-Product Clock Generation (OPCG). When test compression logic is also embedded within the circuit's DFT architecture, the loading of the OPCG programming bits can impact test compression results. We present an approach to the use of OPCG that enables high-speed testing and is compatible with test compression. t also enables the use of tests that pulse multiple domains to further reduce test time and data volume. t also supports generation of inter-domain and static ATPG tests. We present results on four designs; one design shows an over 35% reduction in patterns due to use of multiple clock domains per test. An additional 1+% savings is possible using side-scan to load the OPCG programming registers. 1. ntroduction The trend towards smaller geometries has placed greater focus on timing defects and their impact on product quality and reliability. At technologies 9nm and below, targeting delay faults has become part of standard manufacturing test flows; however, at-speed delay test brings along with it a unique set of challenges. Performing delay test requires the automatic test equipment (ATE) to supply the high speed clocks used to apply the multiple at-speed clock pulses to the design. Standard ATE not only has a limited number of clock pins, but is also limited in the maximum clock frequencies it can supply. Only very expensive ATE has clock pins capable of running at Gigahertz frequencies. Even so, there may not be enough pins to drive the tens (or possibly hundreds) of internal clock domains..ruu1jlfuuul.. PLL.1/UUU\UUUU Referenc:e Clock Trigger OPCG Logic nternally generated clock / --"1L Figure 1. At-Speed Testing Using OPCG Logic To reduce the cost of test, On-Product Clock Generation (OPCG) logic can be inserted to leverage the functional phase-locked loops (PLLs) in the design and generate the high-speed clock signals on the chip [1-4]. OPCG refers to the testability logic inserted into the functional clock path of PLLs present in the design. OPCG allows for the generation of on-chip launch and capture clocks used to perform atspeed or faster than at-speed testing of the design during delay test A TPG. Figure 1 shows a PLL generating high speed clock pulses from the relatively low frequency reference clock input. The free running oscillator clock from the PLL is intercepted by the OPCG logic. Once the Trigger signal is activated, the OPCG logic allows only a certain number of the free running high speed clock pulses to get through to the clock domains. The block marked OPCG Logic is present on the functional clock path from the PLL, and is bypassed during normal functional operation. An OPCG state machine is typically inserted into each clock domain of a design to generate pulses for that domain. These state machines are usually programmable so that many different clocking sequences can be produced by the logic, based only on loading different programming bits into each state machine. As more designs use test compression [7-11] to help reduce test costs, it can be difficult to deal with loading the many programming bits for OPCG which are a critical component of each test pattern; the programming bits are effectively a large set of care bits required to be loaded for each test. The bandwidth consumed by re-oading OPCG programming bits for each test can impact the efficiency of any test decompression scheme. Another aspect that is important for saving test cost is to keep the number of tests as low as possible. When running at-speed clock pulses it is important to avoid race conditions that may appear across clock domains. When there may be many tens of domains, it is highly inefficient to produce double pulse, intra-domain tests that use one domain per test. t can also be useful to be able to generate inter-domain tests as well as static A TPG tests utilizing the OPCG clocking mechanism simply because it allows use of a single (or a few) test clocks for scanning while utilizing the functional clock domains for capturing. This paper describes the design of OPCG logic that is compatible with test compression. t also presents an automated methodology for insertion of this OPCG logic and generation of at-speed delay test patterns. The key benefits can be summarized as follows. Paper 24.3 NTERNATONAL TEST CONFERENCE $ EEE

2 The OPCG logic has some unique programmable features that allow for generation of inter-domain tests, leading to higher quality patterns, and can also test multiple domains simultaneously, thus lowering the number of tests needed to be applied. The methodology is fully compatible with all test compression architectures. The OPCG logic is programmed via a side-scan mechanism, reloading the programming bits only when the clocking sequence needs to change. This avoids any negative impact on the test compression efficiency from re-oading the OPCG programming bits on each test. The clocking sequences that utilize the OPCG pulses are automatically generated by analyzing the clock domains and the inter-domain paths. The OPCG logic can be used for targeting stuck-at faults as well. Race conditions between asynchronous domains can be avoided by taking advantage of the programmability of the OPCG logic. The OPCG logic is fully stuck-at testable since the OPCG state elements are included within the scan chains during all non-opcg test modes. Launch-off-Scan (LoS) and Launch-off-Capture (LoC) methods of transition fault testing are fully supported. The novel features of this at-speed test methodology also include cross clock domain path blocking that enables the test of multiple asynchronous domains together. f domains are synchronous, we can test them together by enabling crossings. Moreover, the functional clock gating to a downstream clock domain can be either turned on or off. n one scenario, the mission-mode clock gating will control the functional clock going to a downstream sub-domain. n another scenario, functional clock gating is disabled or the clock is forced off no matter what the clock gating does. Furthermore, delay values may be set between the test of two domains that have asynchronous data crossings. f these domain crossings are not blocked, we can stagger their test clock pulses using a delay value. 2. Previous Work The use of OPCG for at-speed test using low cost testers was initially focused on high-speed CPU designs such as described in [1-3]. These required a lot of customization and manipulation of ATPG patterns since the OPCG logic was not fully modeled in the A TPG tools at that time. Some of the implementation challenges and requirements for lowcost tester support are well described in [4]. ATPG advances to recognize these test clock controllers can be referenced in [5-6]. n the area of on-product test data compression [7,9-11] describe the most common embedded test compression structures in production use today. X-masking requirements for at-speed testing are described in [8]. This work builds on top of previously reported foundational work done by BM and Cadence using At-Speed Structural Testing [12], OPCG automation [13] and test data compression [7-8]. The earliest use of OPCG in BM chips that was supported by automation tools was done in the 198's to help support Logic BST that would be applied in the system using on-product generated clocks and also on A TE. The previous work was not directly trying to make the OPCG compatible with compression and did not try to reduce the number of tests by allowing more clocks to fire within the same test, both of which we are showing in this paper. Other work related to the implementation of onproduct clock controllers to address specific issues such as low-cost tester interfaces, multiple clock domains, low power considerations, clock tree design, A TPG selection of launch-capture sequences, etc. are covered in [14-19]. Design and verification aspects of clock-domain crossings are covered in [2]. 3. Cost of Test Considerations for OPCG When using test compression, the scan data feeds the internal chains through some form of decompression logic. When we use programmable OPCG logic, the program bits have to be loaded during test application. The most common way to load OPCG program bits is to place the programming bits into the normal scan chains. This way, once we define what to load into the bits, that data is loaded using the traditional scan paths. That worked well in the past, but as more scan chains are being fed by decompressor logic, loading the OPCG programming may require solving of equations in order to get the right bits loaded (Figure 3. 1 (a)). n addition, the OPCG programming bits begin to compete with A TPG care bits for the decompression bandwidth that is available. When there are multiple tens or hundreds of clock domains, the sheer volume of programming bits for OPCG may cause trouble if loaded via decompression logic. Note that while it may be acceptable for the occasional fault to remain untested due to care bit collisions, all OPCG programming bits must get loaded as expected, lest we produce a clocking sequence that does not match what is expected. We have heard of cases where clock sequences could not be produced due to care bit conflicts just between OPCG programming bits. Coverage loss can easily occur if valid, timing safe clocking sequences cannot be programmed due to such care bit conflicts. S V= SO (b) OPCG Program Register S so Figure 3. 1 Considerations for OPCG Programming Paper NTERNA TONAL TEST CONFERENCE 2

3 One way around this problem is to dedicate scan-in pins to feed OPCG programming registers only, so they will never be competing against A TPG care bits or each other for decompressor bandwidth (Figure 3. 1 (b)). This should work, but it may consume a large percentage of the available scanin bandwidth for OPCG programming. For example, if there are 8 scan-in pins, dedicating 1 scan-in pin to load OPCG programming bits for each test consumes 12.5% of the scanin bandwidth just for OPCG programming. f there are even fewer scan-in pins, the percentage will be even higher. Also, if the number of internal clock domains is high, it may be necessary to use more than one scan chain to contain the programming bits and keep the chains balanced. Designs with very low test pin counts (4 or fewer scan-in pins) are severely impacted if 2+ scan pins must be dedicated to loading OPCG programming bits. The approach we recommend in this paper is that OPCG programming registers are not modified once they are loaded and the programming bits need not be reloaded for each test that uses the same clocking. This affords the ability to load the programming bits separately from the normal scan chains, using a mechanism called side scan, which is similar to how EEE uses different instructions to scan different sets of chains. This mechanism allows us to utilize all of the scan-in pins for loading the programming bits; side scan uses a separate scan procedure that is independent of the normal scan chain loading (Figure 3. 1 (c)). The one expense of using side-scan is that you need either a separate clock to scan these bits, or you must qualify an existing clock to note when it is used for side scan versus when it is used for something else. Even when using test compression, the use of OPCG can cause an increase in test time and data volume. This can happen if the internal domains have some cross-domain paths that can cause race conditions. n many cases the OPCG will be effective at generating double pulse tests for intra-domain testing; however, if domain A talks to domain B, pulsing domains A and B in the same test could easily set up cross domain paths that do not satisfy the timing from the OPCG clocks. To avoid such race conditions, it is common to see tests produced that double pulse a single domain per test. To test all domains will thus require many more tests than when it is possible to double pulse multiple domains per test. To allow multiple domains to be (double) pulsed in the same test, three approaches can be utilized: 1. Domains that do not communicate with each other can be pulsed in the same test. 2. f a delay counter is included in the state machine logic for a clock domain, it becomes possible to delay its clock firing until after a prior domain with which it may communicate. By staggering the clock domains, e.g., double pulse A, pause, then double pulse B, we allow more domains to participate in each test, reducing the number of tests that should be required. 3. Domain input blocking. With analysis to detect where cross-domain paths exist, we can insert logic that will block the receiving flops so they cannot capture data from outside the domain. This logic can be under control of an OPCG program bit for the domain. By loading the programming bits via side scan only when we want to change to a different clocking sequence, we are reducing the bandwidth consumed by OPCG programming on a per test basis. This is also compatible with most test compression/decompression approaches in use in the industry [7-11]. By including more clock domains in each test, we are ensuring that we can apply the tests in the most cost effective manner. 4. Description of OPCG Logic This section describes the OPCG logic. t is assumed that the device will already contain one or more PLLs to allow generation of high frequency clocks internally from a more modest frequency oscillator input from the ATE. A reference clock can be provided either by pulsing that pin one or more times per tester cycle, or by including an oscillator on the load board. Reference Clock Trigger OPCG Domain Macro Figure 4. 1 OPCG logic on the clock path Clock Domain Figure 4. 1 shows OPCG logic (OPCG Domain and Trigger macros) inserted on the functional clock path driven by the on-chip PLL. During the functional mode of operation (TESTMODE = ), the inserted OPCG logic is bypassed and the PLL (or a clock derived from the PLL) directly drives the clock domains. During OPCG test modes (TESTMODE = 1, OPCGMODE = 1), the OPCG state machine generates the high speed capture pulses and the SCANCLK is used during the scan shift operation. The test clock connected to the SCANCLK pin is used for both scan and capture during the non-opcg test modes (OPCGMODE = ). A Trigger Macro is inserted on the primary input Trigger signal to perform glitch removal and to generate reset and run signals sent to the OPCG domain macros. Figure 4.2 shows that once the Trigger signal is asserted, the Trigger Macro first generates the Reset signal to reload the OPCG state machines to the programmed state. A few cycles later the Run signal is generated, which starts the generation of Paper NTERNATONAL TEST CONFERENCE 3

4 pulses from the OPCG state machine. A single Trigger Macro instance can support several domain macro instances. osc Trigger --lr-----s Reset Run ---.J S -1'1- -:- Figure 4.2 Trigger Macro waveforms The OPCG domain macros are intended to be used once for each independent clock domain. They include a state machine that will produce a sequence of clock pulses when the Trigger signal is active. The state machine quiesces once the pulse sequence is completed. The number of pulses to be generated by the OPCG state machine is programmable, including an ability to program it such that no pulses will be generated. Static test generation can also be performed using this OPCG logic since the state machine is capable of generating a single pulse. The state machine itself runs off a supplied oscillator input clock that is sourced from a PLL. Though not shown in the figure, an optional clock divider can also be inserted on the path between the PLL and the Domain Macro. This would be necessary when the PLL driving this clock domain during test is not the same PLL that drives the domain during functional operation. Since the A TE may be limited in the number of reference clocks it can supply, it is possible that a subset of the functional PLLs may be operational in OPCG test modes. RUN -L==F+= Prograrron..,le Register (R.gl.tu Wk*h. Ota..y COWlttr width. b.rotpulstll Figure 4.3 Block diagram of OPCG Domain Macro OPCGCLK Figure 4.3 shows the OPCG domain macro containing a programmable shift register whose most significant bits are allocated for the Optional Delay Counter and the least significant bits are allocated for the Pulse Generator. The domain clock output, OPCGCLK, is a multiplexed clock between the functional clock, scan clock and the at-speed pulse generator. Primarily, the RESET signal from the Trigger Macro is used to reload the functional pulse generator and delay counter registers from the programmable registers in the domain macro. The main function of RUN is to enable the domain macro logic to release the at-speed pulses that have been programmed. t is critical to have the RUN signal (input to the pulse generator) also gate the pulse generator output when it is zero to avoid having to reset the state elements prior to its first programming and running. The optional delay counter runs at half the PLLCLK frequency and can be used to delay the at speed pulse generation. When the delay counter is present, the RUN signal to the pulse generator is delayed until the delay counter gets to all zeros. The delay counter, programmed with a value of n>o, counts 2n - cycles before asserting it's enable output to release the domain output pulses. f the programmed value is n=o, there is no delay before pulses are enabled. n our experience, delay counter sizes of between 4 to 7 bits provide sufficient cycles of delay with a small hardware overhead for the counter. The delay counters allow multiple asynchronous domains to be pulsed in a single test even if these domains may communicate - the delay counter provides sufficient delay to ensure no races between domains are seen. This is illustrated in Figure 4.4 where Domain _ A is driven by the oscillator OSC-d and Domain_B is driven by OSC73. Once the Run signal goes active, Domain_A emits two consecutive pulses without any delay, while Domain_B emits two pulses after a delay of 5 OSC73 cycles. asc Trigger --lr---;--..;----;.-----s Reset ---1'--!--! -----_: :_-- Run OSC+l OSC+3 Domain_A ---;.- : M'-i-: _.;.- Domain_B...;_-+-_;... _ ---n...n Figure 4.4 Domain Macro waveforms with Delay Counter The RTL for the OPCG trigger and domain macros is constructed in a manner such that in a non-opcg test mode, all their state elements are included within normal scan chains - allowing them to be tested along with the functional logic. Figure 4.5 (b) shows the scan path through OPCG Domain Macro state elements that are enabled when OPCGMODE=O. These small scan segments will be concatenated to the front of normal scan chains for non OPCG test modes. For OPCG test modes (OPCGMODE=l), these scan segments are bypassed as shown in Fig 4.5 (a). OPCGMOOE... 1'... 1 SDE_SCANJN SCANN OPCGMODE (a) OPCG Test Mode (OPCGMOOE = 1) SOE_SCAN_OUT SCANOUT SCANN SCANOUT -===--====-- (b) Non.QPCG Test Mode (OPCGMOOE = ) Figure 4.5 Scan configuration within Domain Macro Figures 4.3 and 4.5 also show the side scan path used to program the OPCG logic. The program registers contained Paper 24.3 NTERNA TONAL TEST CONFERENCE 4

5 within the various macros are stitched into multiple side scan chains by concatenating segments of different domain macros. The side-scan approach allows multiple chains to be sourced directly from the scan-in pins for the test mode. These chains are balanced to minimize the number of cycles required to load new OPCG clock sequence programming. The side scan chains are activated only during the OPCG modes of operation (OPCGMODE=). The scan clock for these registers (SDE_SCAN _ CLK) is separate from that used to run the normal scan chains, or an existing clock can be gated to ensure the program register values don't change during the normal scan operation. The side scan chains are loaded independently from the normal scan chains and are used to load the programming for a clocking sequence only when a new clocking sequence is needed. These side chains use the full bandwidth of the scan-in pins and do not use test compression logic to decompress the programming bits. By loading the OPCG program bits separately from the normal scan data we leave the full scan-in bus and decompressor bandwidth for use in loading the A TPG care bits for each test. This can be extremely important when dealing with programming bits for hundreds of internal clock domains. 5. Automatic Sequence Generation There are three types of sequences needed to run OPCG tests: 1. Test mode initialization sequence. 2. OPCG program loading sequence. 3. OPCG launch & capture clocking sequence. The mode initialization sequence brings the circuit into the basic state needed to run the tests for that test mode. This may include loading configuration bits via EEE scan or other means. n the case of OPCG test modes, the circuit setup will also normally include programming and setup of the PLLs so that they begin to run from an input reference oscillator signal and lock onto the frequency and phase of that reference signal. t is important not to generate any tests that use the PLL output if the PLL has not locked onto the reference clock signal or you risk having the tests fail or become un-repeatable. For OPCG test modes we check that any PLLs in use to run the OPCG logic have been started and that there is a wait for locking to occur prior to exiting the mode initialization sequence. Because we expect the mode initialization sequence to get the PLLs up and running and because the A TPG automation is not currently knowledgeable about how to do this for the wide variety of PLL P blocks that exist, this sequence must be supplied by the end user (except for the additional BM automation described later that generates this sequence as well). We call the sequences used to load OPCG programming setup sequences. A setup sequence defines how to load the programming for a given clocking sequence that pulses the internal domains for launch and/or capture events between scan load and unload. These sequences include a special event called Load OPCG Controls that lists the OPCG registers by name and assigns them values to be loaded. The registers can be one or more bits long each and may be used to define: The number of pulses to be issued for the domain and relative placement of those pulses. Any delay to be applied that will wait some number of domain cycles between when the run signal is received and the first pulse appears at the domain clock tree root. Control bits that may configure blocking of inputs from other domains, or that may override functional clock gating, etc. An example setup sequence might look like this: Definesequence <sequence_name> type=setup Load OPCG Controls : Domain A pulsegen = 11 Domain=A=delay = Domain_B_pulsegen = 11 Domain_B_delay = 11 ; Test sequences for OPCG test modes denote pulses on the internal clock domains as pulses on the pseudo-primary inputs (PPs) that have been defined to represent the roots of each domain's clock tree. Such test sequences for OPCG test modes will normally include a reference to a specific setup sequence that shows how to load the OPCG programming to make the test sequence's clocking work as defined. Only tests that do not issue the OPCG trigger signal would not be required to reference a setup sequence (e.g. a scan chain test might never launch release or capture clocks, so it would not require a setup sequence). When test sequences are output into the set of tests to be applied by A TE, they are collected into sets that use the same clocking and will be preceded by the setup sequence that loads the OPCG programming for the set. t is important to note that it is not possible to jump to a test in the middle of a set without first applying the setup sequence that precedes the set. To make a set of effective and cost effective clocking sequence templates for use by A TPG, we analyze the internal clock domains to see how they communicate and also to note the amount of logic that is available for testing when using intra-domain clocking, inter-domain clocking or static A TPG clocking sequences. The automatic test sequence generation attempts to pulse multiple clocks in each test, using knowledge that the domains do not communicate with each other or by setting the domain input blocking control bit if it exists for a domain or by staggering the clocks with delay between them if necessary to allow for cross domain paths to settle out before continuing with more clock pulses. Paper NTERNA TONAL TEST CONFERENCE 5

6 Once the set of clocks to be pulsed is detennined, along with any control bit settings and possible delay count values, the setup sequence is also defined and paired with the test sequence. When A TPG uses the test sequence, it will automatically include the setup sequence to precede it in the output pattern set. The test sequence produced will typically look something like what is shown to the left in Figure 'T""""&:an Lo.d."...",""{ use ttlesame clocking sequence 2. Assert Trigger Signal 3. Pulse Domain Clock 4. Pulse Domain Clock 5. Wait ror logic to quiesce 6. De-assert Trigger Signal / 7. Scan Unload ATPG Test Patterns Setup Sequence Test Sequence Modeinit Sequence Test Sequence - '" Setup Sequence i'r est sequence Test Sequence -- r- Program and lock PLL Progl"8m Demllin Macro Stan LoadNnload ld generate n OPCG pulses Change ckx:king - sequencb Repeat for a diff.",nt programming of OPCG Domain Macros Figure 5. 1 Overview of generated A TPG tests t should be noted that even if we utilize the domain input blocking control for a domain, it is possible that, due to some error in implementation, not all inputs from other domains are blocked. This is verified during analysis for each domain. n spite of any such errors, we still try to utilize the domain input blocking, but simulation will use generated timing constraints from this analysis to note that if any unsafe path gets sensitized, predict X at the capture flop. f the clock domains are defined with the ability to produce 3 or more pulses each, an option is available to exploit this when generating double-pulse, intra-domain tests. The hafspeed option will insert an empty clock cycle between the first and third pulses for each domain to be double pulsed within the test sequence. This effectively provides a simple means to run some tests at half the nonnal speed of the domain. This can be useful if tests fail at functional speed. f the tests continue to fail even when run at half speed, the fails may not be due to running the domain too fast; the tests may show a hold time (short path) violation or some other problem. f the half speed tests work, the reference clock and/or PLL timing can then be played with to see at what speed they start to fail. Output from ATPG, the sequences will be arranged in order as shown in Figure The mode initialization always comes first and then a setup sequence precedes any tests that use OPCG programming. f the OPCG program bits are within the nonnal scan chains, their values will be copied from the setup sequence into the scan_load events within the every test sequence using that setup sequence. The preferred implementation uses side-scan to preload the OPCG program bits prior to the tests that use them. 6. Domain-Aware Testing for BM ASCs n this section, we describe a specific application of OPCG for contract-manufactured application-specific integrated circuits (ASCs). Each functional clock domain in the ASC is clocked by a low-skew clock fonnatter circuit, known as a deskewer, that selects between test wavefonns (e.g., 2 atspeed pulses) generated by a test wavefonn generator (TWG) in at-speed structural test (ASST) mode, and functional clock wavefonns generated by the customerdesigned clock generation logic in mission mode. The TWG and deskewer are combined into a single core that can be instantiated at the roots of clock trees during a customer's clock design phase. More details about the ASST methodology for ASC design are provided in [21]. One of the key requirements for the ASCs is to support domain-aware testing of asynchronous domains. Figure 6. 1 illustrates the problem. Three clock domains are shown colored red, yellow and blue respectively. The arcs shown represent inter-domain signal paths in the test mode. The flops within each domain can be divided into two categories. The "domain input flops" may receive data from primary inputs or other domains as well as from within the same domain. The "internal" flops only receive data from within the same domain. A robust ASC at-speed test methodology requires the ability to manage and deal with races between asynchronously communicating domains. Domain nput Flops - these flops receive data from a different domain o nternal/outout Floos - these floos onlv receive data from the same domain Figure 6. 1 Domain-aware testing 6.1 Testing asynchronous domains Two features within the OPCG domain macro described in Section 4 give A TPG the ability to deal with asynchronous domain signal transitions. First, a domain macro can be programmed to not participate in the launch/capture sequence. This gives ATPG the ability to tum-off one of two interacting domains, eliminating races between them. n the worst case, all but one domain can be shut-down and each domain can be tested one at a time in isolation. Another feature that can be used to deal with races is the delay Paper 24.3 NTERNA TONAL TEST CONFERENCE 6

7 counter. As discussed in Section 4, the delay counter can be used to pulse two interacting domains in the same test; however, with sufficient delay between the pulses to avoid any races between the two domains. These two domain macro features are sufficient to allow A TPG to generate race free tests in almost all cases. OPCG Domain Macro SCANEN t-----\ TESTMODE ----i BLKDOMAN A third approach that provides additional flexibility in dealing with asynchronous paths is to block these signals at the capture point. Figure 6.2 shows an example of logic that implements this technique. There is a special domain specific scan enable "BLKDOMAN" which is used to select the scan input instead of the functional input of a domain input capturing flop. When it is possible for a flop to capture a possibly transitioning signal from another domain, BLKDOMAN is asserted and the transitioning data is blocked. A TPG efficiency can be improved even further by the inclusion of a "toggle mux" as shown in Figure 6.2. This mux recycles the inverted value back into the flop, forcing a transition to be launched from the flop during every test. The select signal for the toggle mux is typically the global scan enable signal; however, it can be connected to a separately controlled signal (denoted Edge_Mode here) for even greater flexibility. BLKDOMAN Scan Mux (Flop) sysclock sysclock Figure 6.2 Blocking asynchronous domain crossings Each OPCG domain has its own BLKDOMAN signal that can be used to isolate flops from other domains. Typically, each flop in a domain is analyzed and the flops which are capturing paths from other domains are connected to the BLKDOMAN signal and have a toggle mux inserted. As shown in Figure 6.3, the BLKDOMAN signal is generated from within the OPCG domain macro from a new bit in the programming register. The BLKDOMAN signal is generated by logically Ring the blocking bit with the global scan enable signal. This additional blocking bit gives A TPG the flexibility to isolate a domain from receiving data from other domains. This allows the concurrent pulsing of the clocks for two interacting domains that otherwise would be timing unsafe. Figure 6.3 Generation of the BLKDOMAN signal Note, that the use of the BLKDOMAN signal and toggle mux does not introduce any additional delay along the functional paths of the chip, other than what would normally be encountered for scan. Another advantage of this technique is that it can be applied to random logic without regard to the hierarchy present. The same is not true for techniques that block inter-domain paths using wrappers, which can be used most conveniently when clock domains are separated by logical hierarchy. During a static (DC) test, there can be two passes as follows:. Test all domains, with crossings between domains blocked; 2. Test each domain (or each group of non-interacting domains) separately, with no blocking between domains. During both passes, all flip-flops receive scanned patterns using a common test clock. Pass is intended to result in the most efficient pattern set, providing maximum coverage of faults internal to domains for all domains in parallel. Pass 2 is intended to detect the remaining faults that occur in paths between domains, but in a race-free manner by only clocking one domain or a group of non-interacting domains at a time. Blocking of domain crossings occurs during Pass, and this is accomplished by feeding a separate "fencing" scan enable (called BLKDOMAN above) to those flops that capture data from other domains. During Pass, the "fencing" SE is held high not only during scan, but during test data capture as well; thus these domain-input flops safely capture from the skew-safe scan or toggle path rather than the functional path that is vulnerable to race conditions at the domain crossing. For Pass, all the clock controllers are programmed to pass along the test clock. Then, during Pass 2, the "fencing" SE, like a "normal" SE to flops internal to the domain, is active only for scan and not data capture. Thus, in Pass 2, domain crossings are not blocked, but this is race free as the clock controllers are programmed to allow only one domain or group at a time to be clocked. For non-opcg delay (AC) testing, a sequence of two clock pulses can be provided by the tester with certain timing control, and similar to DC testing as described above, Pass can be used to test transition delays within all domains in parallel. n Pass 2 an AC test is provided for the interdomain crossings. Some domains can be provisioned with a Paper NTERNA TONAL TEST CONFERENCE 7

8 secondary test clock and be unfenced. All other domains can receive the primary test clock and need to be fenced. A timed sequence of the two test clocks can be provided by the tester to create a transition delay test for all transitions going to a specific domain(s) from all other domains that interact with that domain(s). 6.3 Directed clock generation using testplans For each ASC, a testplan can be either generated automatically or customized using the list of GPCG registers on the chip. n the case of the custom testplan, these registers are organized according to the deskewer and PLL macros that contain them. The registers are programmed in the testplan with the values from a clock spreadsheet provided by the ASC customer. The clock spreadsheet lists the domains and their frequencies, which PLLs drive them, and which domains can be tested together. A testplan is made up of one or more experiments. There are two constraints on each PLL ref clock frequency in the testplan. First, the refclock frequency must be low enough so that a) scan is not run too fast, and b) the scan enable is not kept low for too many tester cycles. Scan is generally run between 2-5 MHz. The refclock frequency can be up to an 8X multiple of the tester frequency. There is one scan cycle per tester cycle. Hence, tester cycle must be between 2-5 MHz. 7. Experimental Results Experiments performed on four designs show the benefits of the proposed at-speed test methodology. Table 1 describes the designs that were used to generate these results. All the designs had high transition fault coverage requirements of around 9%. Design Table 1. Designs used for experiments Num Num Clock Max Domain Flops Domains Frequency A MHz B 22K 8 15 MHz C 172K MHz D 14K 57 16MHz Table 2 presents results for intra-domain tests showing the benefits of the side-scan approach when compared to dedicating one or more scan pins for programming the GPCG registers. For designs A and B, it was assumed that at most 5 scan-in pins could be used; 8 scan-in pins were used for design D This is a reasonable assumption given the very low pin count requirements for current designs. The last column in Table 2 shows the increase in pattern count when programming the GPCG registers via dedicated scan pin(s) as compared to using side-scan chains. Table 2. Comparing compression using side-scan chains and dedicated program chains Dedicated Program Side-scan chains Chain Pattern Design Num Num Count Scan Num Num Scan Num Num ncrease n Chains Patts n Chains Patts Pins Pins A % B % D , , % To generate results using the side-scan approach, compression was inserted into these designs using all 5 scan-in pins. For design A, four of the scan-in pins each fan out to one of the four GPCG domain macros. To generate results using a dedicated chain for GPCG programming, compression was inserted using only 4 scan-in pins. For design A, the remaining scan-in pin fed the four GPCG domain programming registers concatenated together. The number and length of internal (compressed) chains was kept the same in both scenarios. While fault coverage was the same for both scenarios, using a dedicated chain for GPCG programming results in much higher pattern count. A similar approach was taken with design B, resulting in over 3% increase in pattern count when one scan pin was dedicated to programming the GPCG registers. Design D is a low pin count ASC design originally implemented using only 2 scan-in pins. Since for the purpose of these experiments it would not be practical to dedicate one (or both!) of the two scan-ins to programming the GPCG logic, the design was re-synthesized to use 8 scan-in pins. Since this design has 57 clock domains and each domain has 6 programming bits within it (4-bit delay counter and 2-bit pulse generator), the total number of GPCG bits to be programmed is 342. This is more than the length of each internal chain, which is around 25 bits. When dedicating only one scan-in pin to load the GPCG programming bits, then each test would require more cycles to load the GPCG bits (342 cycles) compared to loading the test data into the internal chains (25 cycles). Hence two scan-in pins were used to load the GPCG registers, reducing to 171 the number of cycles required. This allowed each test to be loaded using the original number of 25 cycles. Results show a 1% increase in pattern count when dedicating 2 scan-in pins to program the GPCG logic. With the original 2 scan-in pin limit for the design, dedicating 1 scan-in pin to load a 342 bit long GPCG scan register would have led not only to ( 25%) more tests, but each test would have taken 92 ( 37%) more shift cycles for scan loads; the two increases compounding to create an over 7% increase in scan cycles. Results were also generated to illustrate the benefits of using a delay counter within the GPCG domain macros. The Paper NTERNATONAL TEST CONFERENCE 8

9 interaction between the 4 domains for design C is shown in Figure The weight of each edge is the number of gates along paths between the domains (inter-domain), and the weight of the self-loop edge is the number of gates along intra-domain paths within that domain. 11,929 D an3 E? 3286 Domain 2 Domain ,651 1,9,423 Figure 7. 1 Domain communications in design C Figure 7.2 illustrates the different clocking sequences possible for design C. Without a delay counter, the first clock sequence generated would double-pulse domain 1 only; a second sequence double-pulses domains 2, 3 & 4 simultaneously since these domains do not communicate with each other. Using a delay counter, all four domains are double-pulsed in the same clock sequence, staggering pulses of domains 2, 3, & 4 away from the double pulses of domain 1. When domain input blocking logic is present, then all the four domains can be pulsed simultaneously. With Delay Counter r cl;;.;ki g s;.q ; #; i i Domain_l ---1l11 i : Domain 2 -""1..L...--: i Domain-3 -""1..L...-- i! Domain=4 -""1.."""t--! No Delay Counter r cl.;,;ki g s ;;; ;; ;il 1 :Domainl : l r cl.;,;ki g s ;;; ;; #2 1 l Domain_2 -Ll...- l : Domain 3 -Ll...-: L ;...! With Domain Blocking i C;;.;ki g s ;; ; #; 1 i Domain_l ---1l11 i idomain2 i : Domain-3 : :Domain-4 : : : j Figure 7.2 Different clocking sequences for design C The results from test generation show that when a delay counter is used, 23.5% fewer test patterns can achieve the same fault coverage compared to when a delay counter is not used. Not only is this a significant reduction in the test data volume, the time required for test generation was also lower when using a delay counter. Table 3 shows that allowing multiple domains, even communicating ones, to be pulsed simultaneously can lead to significant reduction in pattern counts. Four separate test generation runs were done to generate intra-domain tests for design D by simultaneously pulsing a maximum of 1, 5, 1 and 15 domains respectively in each of the test generation runs. The conventional approach of pulsing one domain at a time is used as a baseline against which the other runs are compared. f P n is the number of patterns generated when n domains are pulsed simultaneously, then the percentage reduction in pattern count is calculated as ((P - Pn)/P])* 1. Results show that over 35% reduction can be easily achieved by pulsing domains simultaneously, though the benefit tapers off with higher number of domains. Table 3. Pulsing clock domains simultaneously (design D) Number of domains pulsed simultaneously % Reduction in % Reduction Pattern Count in CPU Time 1 (baseline) The OPCG logic requires minimal area overhead. For ASC design C, the OPCG logic consumed less than.5% of the total area of the design. This includes the Trigger macro and 4 OPCG macros each containing 4-bit delay counter and 2- bit pulse generator registers. Even if this design were to have about 1 independent domains, the area overhead would still be around 1 % or less. The bandwidth used to load OPCG programming registers is negligible with side-scan, as just a short scan is needed whenever the clocking sequences change. Traditionally, the registers would be reloaded on each and every test. 8. Conclusions This paper presents an On-Product Clock Generation (OPCG) methodology that enables high-speed testing and is compatible with test compression. t also enables use of tests that pulse multiple domains as well as inter-domain and static A TPG tests. Key contributions can be summarized as follows. 1. By loading OPCG programming bits independently from the normal scan chains, we avoid decompress or collisions between programming bits and A TPG care bits. t also reduces bandwidth for loading OPCG programming per test. Results for compression test modes show that using dedicated scan pins to load OPCG programming can increase the pattern count by over 15% when compared to using side-scan chains. 2. Delay counters provide a means to improve the efficiency of tests by staggering clocks that might otherwise cause timing race conditions. t also enables cross-domain testing even when the two domains are Paper NTERNA TONAL TEST CONFERENCE 9

10 asynchronous. Experimental results show up to 25% reduction in the number of intra-domain tests generated when the delay counter was used. 3. Domain input blocking allows many domains to fire simultaneously without a race condition. Our results show that pulsing multiple domains simultaneously can reduce the number of intra-domain tests by over 35% while still achieving the target fault coverage. 4. The proposed OPCG clocking can also be used for static A TPG testing. When there are large numbers of internal clock domains, they typically must share test clock primary inputs and this can cause race conditions for shared test clocks. Using the OPCG mode to create static tests avoids this problem. Future work will add support for more specialized OPCG control registers, such as clock domain gating overrides. t is important to recognize the function of each OPCG register so that test clocking sequences can be automatically generated, although custom clocking sequences will likely always be needed for special cases. 9. Acknowledgements Many people helped to generate information for this paper. We would like to thank Nitin Parimi, Nilabha Dev, Priyanka Dasgupta, Subhasish Mukherjee, Vinayak Kadam, Prashant Narang, James Sage and Paul Yuan for their help. 1. References [1] B. Bailey et a., "Test methodology for Motorola's high performance e5 core based on PowerPC instruction set architecture," Proc. EEE nt. Test Con!, 22, pp [2] T.L. McLaurin and F. Frederick., "The testability features of the MCF547 containing the 4th generation ColdFire microprocessor core," Proc. EEE nt. Test Con!, 2, pp [3] N. Tendolkar et a., "Novel techniques for achieving high at-speed transition fault test coverage for Motorola's microprocessors based on PowerPC instruction set architecture," 22, Proc. EEE VLS Test Symp., pp [4] J. Saxena et a., "Scan-based transition fault testing - mplementation and low cost test challenges," Proc. EEE nt. Test Con!, 22, pp [5] X. Liu et a., "Novel ATPG algorithms for transition Faults," Proc. European Test Workshop, 22, pp [6] Y. Shao,. Pomeranz, and S.M. Reddy. On generating high quality tests for transition faults. Proc. Asian Test Symposium, pp. 1-8, 22. [7] C. Barnhart et a., "OPMSR: The foundation for compressed A TPG vectors," Proc. EEE nt. Test Con!, 21, pp [8] V. Chickermane, B. Foutz, & B. Keller, "Channel Masking Synthesis for Efficient On-Chip Test Compression," Proc. nternational Test Conference, 24, pp [9] J. Rajski, et. a., "Embedded Deterministic Test for Low Cost Manufacturing Test", Proc. nternational Test Conference, 22, pp [1] S. Mitra, K.S. Kim, "X-Compact: An Efficient Response Compaction Technique for Test Cost Reduction", Proc. nternational Test Conference, pp , 22 [11] P. Wohl, J.A. Waicukauski, S. Patel, M. Amin, "X Tolerant Compression and Application of Scan-A TPG patterns in a BST architecture", Proc. nternational Test Conference, pp , 23 [12] V. yengar, et a, "At-Speed Structural Test For High Performance ASCs," Proc. EEE nt. Test Conference, 26, paper 2.4. [13] A. Uzzaman, et ai, "Automated handling of programmable on-product clock generation (OPCG) circuitry for delay test vector generation," Proc. EEE nt. Test Conference, 27, paper [14] K. Hatayama, M. Nakao and Y. Sato, "At-Speed Builtin Test for Logic Circuits with Multiple Clocks," Proc. EEE Asian Test Symposium, 22, pp. 18-2, 22 [15] M. Beck, et ai, "Logic Design for On-Chip Test Clock Generation - mplementation Details and mpact on Delay Test Quality," Proc. Conference on Design, Automation and Test in Europe (DATE), 25, pp [16] H. Furukawa, et.al., "A Novel and Practical Control Scheme for nter-clock At-Speed Testing," Proc. EEE nt. Test Conference, 26, paper [17] X. Fan, Y. Hu, and L.-T. Wang, "An On-Chip Test Clock Control Scheme for Multi-Clock At-Speed Testing", Proc. EEE Asian Test Symposium, 27, pp [18] B. Nadeau-Dostie, K. Takeshita, and J.-F. Cote, "Power-A ware At-Speed Scan Test Methodology for Circuits with Synchronous Clocks," Proc. EEE nt. Test Conference, 28, paper 9.3. [19] X. Lin and M. Kassab, "Test Generation for Designs with On-Chip Clock Generators", Proc. EEE Asian Test Symposium, 29, pp [2] "Clock Domain Crossing," Cadence Design Systems, wp.pdf [21] V. yengar et a., "At-Speed Structural Test for Flexible-Scan Advanced-Nanometer Designs," Proc. nternational Test Conference, 21, paper L 1.2. Paper NTERNATONAL TEST CONFERENCE 1

Logic Design for On-Chip Test Clock Generation- Implementation Details and Impact on Delay Test Quality

Logic Design for On-Chip Test Clock Generation- Implementation Details and Impact on Delay Test Quality Logic Design for On-Chip Test Clock Generation- mplementation Details and mpact on Delay Test Quality Beck, Olivier Barondeau, Martin Kaibel, Frank Poehl Technologies AG 73 81541Munich, Germany Xijiang

More information

An On-Chip Test Clock Control Scheme for Multi-Clock At-Speed Testing

An On-Chip Test Clock Control Scheme for Multi-Clock At-Speed Testing 16th IEEE Asian Test Symposium An On-Chip Test Clock Control Scheme for Multi-Clock At-Speed Testing 1, 2 Xiao-Xin FAN, 1 Yu HU, 3 Laung-Terng (L.-T.) WANG 1 Key Laboratory of Computer System and Architecture,

More information

Clock Control Architecture and ATPG for Reducing Pattern Count in SoC Designs with Multiple Clock Domains

Clock Control Architecture and ATPG for Reducing Pattern Count in SoC Designs with Multiple Clock Domains Clock Control Architecture and ATPG for Reducing Pattern Count in SoC Designs with Multiple Clock Domains Tom Waayers Richard Morren Xijiang Lin Mark Kassab NXP semiconductors High Tech Campus 46 5656

More information

Using on-chip Test Pattern Compression for Full Scan SoC Designs

Using on-chip Test Pattern Compression for Full Scan SoC Designs Using on-chip Test Pattern Compression for Full Scan SoC Designs Helmut Lang Senior Staff Engineer Jens Pfeiffer CAD Engineer Jeff Maguire Principal Staff Engineer Motorola SPS, System-on-a-Chip Design

More information

IMPLEMENTATION OF X-FACTOR CIRCUITRY IN DECOMPRESSOR ARCHITECTURE

IMPLEMENTATION OF X-FACTOR CIRCUITRY IN DECOMPRESSOR ARCHITECTURE IMPLEMENTATION OF X-FACTOR CIRCUITRY IN DECOMPRESSOR ARCHITECTURE SATHISHKUMAR.K #1, SARAVANAN.S #2, VIJAYSAI. R #3 School of Computing, M.Tech VLSI design, SASTRA University Thanjavur, Tamil Nadu, 613401,

More information

Logic Design for Single On-Chip Test Clock Generation for N Clock Domain - Impact on SOC Area and Test Quality

Logic Design for Single On-Chip Test Clock Generation for N Clock Domain - Impact on SOC Area and Test Quality and Communication Technology (IJRECT 6) Vol. 3, Issue 3 July - Sept. 6 ISSN : 38-965 (Online) ISSN : 39-33 (Print) Logic Design for Single On-Chip Test Clock Generation for N Clock Domain - Impact on SOC

More information

Scan. This is a sample of the first 15 pages of the Scan chapter.

Scan. This is a sample of the first 15 pages of the Scan chapter. Scan This is a sample of the first 15 pages of the Scan chapter. Note: The book is NOT Pinted in color. Objectives: This section provides: An overview of Scan An introduction to Test Sequences and Test

More information

Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction

Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction Low Illinois Scan Architecture for Simultaneous and Test Data Volume Anshuman Chandra, Felix Ng and Rohit Kapur Synopsys, Inc., 7 E. Middlefield Rd., Mountain View, CA Abstract We present Low Illinois

More information

for Digital IC's Design-for-Test and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ

for Digital IC's Design-for-Test and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ Design-for-Test for Digital IC's and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ 07458 www.phptr.com ISBN D-13-DflMfla7-l : Ml H Contents Preface Acknowledgments Introduction

More information

Changing the Scan Enable during Shift

Changing the Scan Enable during Shift Changing the Scan Enable during Shift Nodari Sitchinava* Samitha Samaranayake** Rohit Kapur* Emil Gizdarski* Fredric Neuveux* T. W. Williams* * Synopsys Inc., 700 East Middlefield Road, Mountain View,

More information

Response Compaction with any Number of Unknowns using a new LFSR Architecture*

Response Compaction with any Number of Unknowns using a new LFSR Architecture* Response Compaction with any Number of Unknowns using a new LFSR Architecture* Agilent Laboratories Palo Alto, CA Erik_Volkerink@Agilent.com Erik H. Volkerink, and Subhasish Mitra,3 Intel Corporation Folsom,

More information

Design of Fault Coverage Test Pattern Generator Using LFSR

Design of Fault Coverage Test Pattern Generator Using LFSR Design of Fault Coverage Test Pattern Generator Using LFSR B.Saritha M.Tech Student, Department of ECE, Dhruva Institue of Engineering & Technology. Abstract: A new fault coverage test pattern generator

More information

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits N.Brindha, A.Kaleel Rahuman ABSTRACT: Auto scan, a design for testability (DFT) technique for synchronous sequential circuits.

More information

Channel Masking Synthesis for Efficient On-Chip Test Compression

Channel Masking Synthesis for Efficient On-Chip Test Compression Channel Masking Synthesis for Efficient On-Chip Test Compression Vivek Chickermane, Brian Foutz, and Brion Keller {vivekc, foutz, kellerbl}@cadence.com Cadence Design Systems, 1701 North Street, Endicott,

More information

Clock Gate Test Points

Clock Gate Test Points Clock Gate Test Points Narendra Devta-Prasanna and Arun Gunda LSI Corporation 5 McCarthy Blvd. Milpitas CA 9535, USA {narendra.devta-prasanna, arun.gunda}@lsi.com Abstract Clock gating is widely used in

More information

At-speed testing made easy

At-speed testing made easy At-speed testing made easy By Bruce Swanson and Michelle Lange, EEdesign.com Jun 03, 2004 (5:00 PM EDT) URL: http://www.eedesign.com/article/showarticle.jhtml?articleid=21401421 Today's chip designs are

More information

Design for Testability

Design for Testability TDTS 01 Lecture 9 Design for Testability Zebo Peng Embedded Systems Laboratory IDA, Linköping University Lecture 9 The test problems Fault modeling Design for testability techniques Zebo Peng, IDA, LiTH

More information

Simulation Mismatches Can Foul Up Test-Pattern Verification

Simulation Mismatches Can Foul Up Test-Pattern Verification 1 of 5 12/17/2009 2:59 PM Technologies Design Hotspots Resources Shows Magazine ebooks & Whitepapers Jobs More... Click to view this week's ad screen [ D e s i g n V i e w / D e s i g n S o lu ti o n ]

More information

At-speed Testing of SOC ICs

At-speed Testing of SOC ICs At-speed Testing of SOC ICs Vlado Vorisek, Thomas Koch, Hermann Fischer Multimedia Design Center, Semiconductor Products Sector Motorola Munich, Germany Abstract This paper discusses the aspects and associated

More information

Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory. National Central University

Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory. National Central University Chapter 3 Basics of VLSI Testing (2) Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory Department of Electrical Engineering National Central University Jhongli, Taiwan Outline Testing Process Fault

More information

Logic BIST Architecture Using Staggered Launch-on-Shift for Testing Designs Containing Asynchronous Clock Domains

Logic BIST Architecture Using Staggered Launch-on-Shift for Testing Designs Containing Asynchronous Clock Domains 2010 25th International Symposium on Defect and Fault Tolerance in VLSI Systems Logic BIST Architecture Using Staggered Launch-on-Shift for Testing Designs Containing Asynchronous Clock Domains Shianling

More information

Low Power Implementation of Launch-Off- Shift and Launch-Off-Capture Using T-Algorithm

Low Power Implementation of Launch-Off- Shift and Launch-Off-Capture Using T-Algorithm Low Power Implementation of Launch-Off- Shift and Launch-Off-Capture Using T-Algorithm S.Akshaya 1, M.Divya 2, T.Indhumathi 3, T.Jaya Sree 4, T.Murugan 5 U.G. Student, Department of ECE, ACE College, Hosur,

More information

Lecture 23 Design for Testability (DFT): Full-Scan

Lecture 23 Design for Testability (DFT): Full-Scan Lecture 23 Design for Testability (DFT): Full-Scan (Lecture 19alt in the Alternative Sequence) Definition Ad-hoc methods Scan design Design rules Scan register Scan flip-flops Scan test sequences Overheads

More information

Lecture 23 Design for Testability (DFT): Full-Scan (chapter14)

Lecture 23 Design for Testability (DFT): Full-Scan (chapter14) Lecture 23 Design for Testability (DFT): Full-Scan (chapter14) Definition Ad-hoc methods Scan design Design rules Scan register Scan flip-flops Scan test sequences Overheads Scan design system Summary

More information

High-Frequency, At-Speed Scan Testing

High-Frequency, At-Speed Scan Testing High-Frequency, At-Speed Scan Testing Xijiang Lin, Ron Press, Janusz Rajski, Paul Reuter, Thomas Rinderknecht, Bruce Swanson, and Nagesh Tamarapalli Mentor Graphics Editor s note: At-speed scan testing

More information

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September-2014 917 The Power Optimization of Linear Feedback Shift Register Using Fault Coverage Circuits K.YARRAYYA1, K CHITAMBARA

More information

K.T. Tim Cheng 07_dft, v Testability

K.T. Tim Cheng 07_dft, v Testability K.T. Tim Cheng 07_dft, v1.0 1 Testability Is concept that deals with costs associated with testing. Increase testability of a circuit Some test cost is being reduced Test application time Test generation

More information

CPE 628 Chapter 5 Logic Built-In Self-Test. Dr. Rhonda Kay Gaede UAH. UAH Chapter Introduction

CPE 628 Chapter 5 Logic Built-In Self-Test. Dr. Rhonda Kay Gaede UAH. UAH Chapter Introduction Chapter 5 Logic Built-In Self-Test Dr. Rhonda Kay Gaede UAH 1 5.1 Introduction Introduce the basic concepts of BIST BIST Rules Test pattern generation and output techniques Fault Coverage Various BIST

More information

2.6 Reset Design Strategy

2.6 Reset Design Strategy 2.6 Reset esign Strategy Many design issues must be considered before choosing a reset strategy for an ASIC design, such as whether to use synchronous or asynchronous resets, will every flipflop receive

More information

Overview: Logic BIST

Overview: Logic BIST VLSI Design Verification and Testing Built-In Self-Test (BIST) - 2 Mohammad Tehranipoor Electrical and Computer Engineering University of Connecticut 23 April 2007 1 Overview: Logic BIST Motivation Built-in

More information

Figure 1 shows a simple implementation of a clock switch, using an AND-OR type multiplexer logic.

Figure 1 shows a simple implementation of a clock switch, using an AND-OR type multiplexer logic. 1. CLOCK MUXING: With more and more multi-frequency clocks being used in today's chips, especially in the communications field, it is often necessary to switch the source of a clock line while the chip

More information

This Chapter describes the concepts of scan based testing, issues in testing, need

This Chapter describes the concepts of scan based testing, issues in testing, need Chapter 2 AT-SPEED TESTING AND LOGIC BUILT IN SELF TEST 2.1 Introduction This Chapter describes the concepts of scan based testing, issues in testing, need for logic BIST and trends in VLSI testing. Scan

More information

Controlling Peak Power During Scan Testing

Controlling Peak Power During Scan Testing Controlling Peak Power During Scan Testing Ranganathan Sankaralingam and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering University of Texas, Austin,

More information

Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Lecture No. # 29 Minimizing Switched Capacitance-III. (Refer

More information

Logic BIST for Large Industrial Designs: Real Issues and Case Studies

Logic BIST for Large Industrial Designs: Real Issues and Case Studies Logic BIST for Large Industrial Designs: Real Issues and Case Studies Graham Hetherington and Tony Fryars Nagesh Tamarapalli, Mark Kassab, Abu Hassan, and Janusz Rajski Texas Instruments, Ltd. Mentor Graphics

More information

Testability: Lecture 23 Design for Testability (DFT) Slide 1 of 43

Testability: Lecture 23 Design for Testability (DFT) Slide 1 of 43 Testability: Lecture 23 Design for Testability (DFT) Shaahin hi Hessabi Department of Computer Engineering Sharif University of Technology Adapted, with modifications, from lecture notes prepared p by

More information

Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques

Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques Akkala Suvarna Ratna M.Tech (VLSI & ES), Department of ECE, Sri Vani School of Engineering, Vijayawada. Abstract: A new

More information

IT T35 Digital system desigm y - ii /s - iii

IT T35 Digital system desigm y - ii /s - iii UNIT - III Sequential Logic I Sequential circuits: latches flip flops analysis of clocked sequential circuits state reduction and assignments Registers and Counters: Registers shift registers ripple counters

More information

cascading flip-flops for proper operation clock skew Hardware description languages and sequential logic

cascading flip-flops for proper operation clock skew Hardware description languages and sequential logic equential logic equential circuits simple circuits with feedback latches edge-triggered flip-flops Timing methodologies cascading flip-flops for proper operation clock skew Basic registers shift registers

More information

DEDICATED TO EMBEDDED SOLUTIONS

DEDICATED TO EMBEDDED SOLUTIONS DEDICATED TO EMBEDDED SOLUTIONS DESIGN SAFE FPGA INTERNAL CLOCK DOMAIN CROSSINGS ESPEN TALLAKSEN DATA RESPONS SCOPE Clock domain crossings (CDC) is probably the worst source for serious FPGA-bugs that

More information

Hybrid BIST Based on Weighted Pseudo-Random Testing: A New Test Resource Partitioning Scheme

Hybrid BIST Based on Weighted Pseudo-Random Testing: A New Test Resource Partitioning Scheme Hybrid BST Based on Weighted Pseudo-Random Testing: A New Test Resource Partitioning Scheme Abhijit Jas, C.V. Krishna, and Nur A. Touba Computer Engineering Research Center Department of Electrical and

More information

Design for test methods to reduce test set size

Design for test methods to reduce test set size University of Iowa Iowa Research Online Theses and Dissertations Summer 2018 Design for test methods to reduce test set size Yingdi Liu University of Iowa Copyright 2018 Yingdi Liu This dissertation is

More information

System IC Design: Timing Issues and DFT. Hung-Chih Chiang

System IC Design: Timing Issues and DFT. Hung-Chih Chiang System IC esign: Timing Issues and FT Hung-Chih Chiang Outline SoC Timing Issues Timing terminologies Synchronous vs. asynchronous design Interfaces and timing closure Clocking issues Reset esign for Testability

More information

Chapter 8 Design for Testability

Chapter 8 Design for Testability 電機系 Chapter 8 Design for Testability 測試導向設計技術 2 Outline Introduction Ad-Hoc Approaches Full Scan Partial Scan 3 Design For Testability Definition Design For Testability (DFT) refers to those design techniques

More information

NH 67, Karur Trichy Highways, Puliyur C.F, Karur District UNIT-III SEQUENTIAL CIRCUITS

NH 67, Karur Trichy Highways, Puliyur C.F, Karur District UNIT-III SEQUENTIAL CIRCUITS NH 67, Karur Trichy Highways, Puliyur C.F, 639 114 Karur District DEPARTMENT OF ELETRONICS AND COMMUNICATION ENGINEERING COURSE NOTES SUBJECT: DIGITAL ELECTRONICS CLASS: II YEAR ECE SUBJECT CODE: EC2203

More information

https://daffy1108.wordpress.com/2014/06/08/synchronizers-for-asynchronous-signals/

https://daffy1108.wordpress.com/2014/06/08/synchronizers-for-asynchronous-signals/ https://daffy1108.wordpress.com/2014/06/08/synchronizers-for-asynchronous-signals/ Synchronizers for Asynchronous Signals Asynchronous signals causes the big issue with clock domains, namely metastability.

More information

Page 1 of 6 Follow these guidelines to design testable ASICs, boards, and systems. (includes related article on automatic testpattern generation basics) (Tutorial) From: EDN Date: August 19, 1993 Author:

More information

Launch-on-Shift-Capture Transition Tests

Launch-on-Shift-Capture Transition Tests Launch-on-Shift-Capture Transition Tests Intaik Park and Edward J. McCluskey Center for Reliable Computing, Stanford University, Stanford, USA Abstract The two most popular transition tests are launch-on-shift

More information

Clocking Spring /18/05

Clocking Spring /18/05 ing L06 s 1 Why s and Storage Elements? Inputs Combinational Logic Outputs Want to reuse combinational logic from cycle to cycle L06 s 2 igital Systems Timing Conventions All digital systems need a convention

More information

VLSI System Testing. BIST Motivation

VLSI System Testing. BIST Motivation ECE 538 VLSI System Testing Krish Chakrabarty Built-In Self-Test (BIST): ECE 538 Krish Chakrabarty BIST Motivation Useful for field test and diagnosis (less expensive than a local automatic test equipment)

More information

Efficient Architecture for Flexible Prescaler Using Multimodulo Prescaler

Efficient Architecture for Flexible Prescaler Using Multimodulo Prescaler Efficient Architecture for Flexible Using Multimodulo G SWETHA, S YUVARAJ Abstract This paper, An Efficient Architecture for Flexible Using Multimodulo is an architecture which is designed from the proposed

More information

Analysis of Power Consumption and Transition Fault Coverage for LOS and LOC Testing Schemes

Analysis of Power Consumption and Transition Fault Coverage for LOS and LOC Testing Schemes Analysis of Power Consumption and Transition Fault Coverage for LOS and LOC Testing Schemes F. Wu 1 L. Dilillo 1 A. Bosio 1 P. Girard 1 S. Pravossoudovitch 1 A. Virazel 1 1 Dept. of Microelectronic 1 LIRMM,

More information

Prototyping an ASIC with FPGAs. By Rafey Mahmud, FAE at Synplicity.

Prototyping an ASIC with FPGAs. By Rafey Mahmud, FAE at Synplicity. Prototyping an ASIC with FPGAs By Rafey Mahmud, FAE at Synplicity. With increased capacity of FPGAs and readily available off-the-shelf prototyping boards sporting multiple FPGAs, it has become feasible

More information

Strategies for Efficient and Effective Scan Delay Testing. Chao Han

Strategies for Efficient and Effective Scan Delay Testing. Chao Han Strategies for Efficient and Effective Scan Delay Testing by Chao Han A thesis submitted to the Graduate Faculty of Auburn University in partial fulfillment of the requirements for the Degree of Master

More information

Virtual Divide and Conquer Scan Test Architecture for Multi-Clock Domain SoC

Virtual Divide and Conquer Scan Test Architecture for Multi-Clock Domain SoC Virtual Divide and Conquer Scan Test Architecture for Multi-Clock Domain SoC A Thesis Submitted For the Degree of Master of Science (Engineering) in the Faculty of Engineering by Senthil Arasu T Supercomputer

More information

Powerful Software Tools and Methods to Accelerate Test Program Development A Test Systems Strategies, Inc. (TSSI) White Paper.

Powerful Software Tools and Methods to Accelerate Test Program Development A Test Systems Strategies, Inc. (TSSI) White Paper. Powerful Software Tools and Methods to Accelerate Test Program Development A Test Systems Strategies, Inc. (TSSI) White Paper Abstract Test costs have now risen to as much as 50 percent of the total manufacturing

More information

TKK S ASIC-PIIRIEN SUUNNITTELU

TKK S ASIC-PIIRIEN SUUNNITTELU Design TKK S-88.134 ASIC-PIIRIEN SUUNNITTELU Design Flow 3.2.2005 RTL Design 10.2.2005 Implementation 7.4.2005 Contents 1. Terminology 2. RTL to Parts flow 3. Logic synthesis 4. Static Timing Analysis

More information

Design for Testability Part II

Design for Testability Part II Design for Testability Part II 1 Partial-Scan Definition A subset of flip-flops is scanned. Objectives: Minimize area overhead and scan sequence length, yet achieve required fault coverage. Exclude selected

More information

LOW POWER AND HIGH PERFORMANCE SHIFT REGISTERS USING PULSED LATCH TECHNIQUE

LOW POWER AND HIGH PERFORMANCE SHIFT REGISTERS USING PULSED LATCH TECHNIQUE OI: 10.21917/ijme.2018.0088 LOW POWER AN HIGH PERFORMANCE SHIFT REGISTERS USING PULSE LATCH TECHNIUE Vandana Niranjan epartment of Electronics and Communication Engineering, Indira Gandhi elhi Technical

More information

MULTI-CYCLE AT SPEED TEST. A Thesis MALLIKA SHREE POKHAREL

MULTI-CYCLE AT SPEED TEST. A Thesis MALLIKA SHREE POKHAREL MULTI-CYCLE AT SPEED TEST A Thesis by MALLIKA SHREE POKHAREL Submitted to the Office of Graduate and Professional Studies of Texas A&M University in partial fulfillment of the requirements for the degree

More information

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath Objectives Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath In the previous chapters we have studied how to develop a specification from a given application, and

More information

Scan-shift Power Reduction Based on Scan Partitioning and Q-D Connection

Scan-shift Power Reduction Based on Scan Partitioning and Q-D Connection Scan-shift Power Reduction Based on Scan Partitioning and Q-D Connection Tiebin Wu, Li Zhou and Hengzhu Liu College of Computer, National University of Defense Technology Changsha, China e-mails: {tiebinwu@126.com,

More information

Name Of The Experiment: Sequential circuit design Latch, Flip-flop and Registers

Name Of The Experiment: Sequential circuit design Latch, Flip-flop and Registers EEE 304 Experiment No. 07 Name Of The Experiment: Sequential circuit design Latch, Flip-flop and Registers Important: Submit your Prelab at the beginning of the lab. Prelab 1: Construct a S-R Latch and

More information

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL Random Access Scan Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL ramamve@auburn.edu Term Paper for ELEC 7250 (Spring 2005) Abstract: Random Access

More information

Solutions to Embedded System Design Challenges Part II

Solutions to Embedded System Design Challenges Part II Solutions to Embedded System Design Challenges Part II Time-Saving Tips to Improve Productivity In Embedded System Design, Validation and Debug Hi, my name is Mike Juliana. Welcome to today s elearning.

More information

Sequencing. Lan-Da Van ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall,

Sequencing. Lan-Da Van ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall, Sequencing ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall, 2013 ldvan@cs.nctu.edu.tw http://www.cs.nctu.edu.tw/~ldvan/ Outlines Introduction Sequencing

More information

Laboratory 1 - Introduction to Digital Electronics and Lab Equipment (Logic Analyzers, Digital Oscilloscope, and FPGA-based Labkit)

Laboratory 1 - Introduction to Digital Electronics and Lab Equipment (Logic Analyzers, Digital Oscilloscope, and FPGA-based Labkit) Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science 6. - Introductory Digital Systems Laboratory (Spring 006) Laboratory - Introduction to Digital Electronics

More information

Sequential logic. Circuits with feedback. How to control feedback? Sequential circuits. Timing methodologies. Basic registers

Sequential logic. Circuits with feedback. How to control feedback? Sequential circuits. Timing methodologies. Basic registers equential logic equential circuits simple circuits with feedback latches edge-triggered flip-flops Timing methodologies cascading flip-flops for proper operation clock skew Basic registers shift registers

More information

nmos transistor Basics of VLSI Design and Test Solution: CMOS pmos transistor CMOS Inverter First-Order DC Analysis CMOS Inverter: Transient Response

nmos transistor Basics of VLSI Design and Test Solution: CMOS pmos transistor CMOS Inverter First-Order DC Analysis CMOS Inverter: Transient Response nmos transistor asics of VLSI Design and Test If the gate is high, the switch is on If the gate is low, the switch is off Mohammad Tehranipoor Drain ECE495/695: Introduction to Hardware Security & Trust

More information

Chapter 2 Clocks and Resets

Chapter 2 Clocks and Resets Chapter 2 Clocks and Resets 2.1 Introduction The cost of designing ASICs is increasing every year. In addition to the non-recurring engineering (NRE) and mask costs, development costs are increasing due

More information

SIC Vector Generation Using Test per Clock and Test per Scan

SIC Vector Generation Using Test per Clock and Test per Scan International Journal of Emerging Engineering Research and Technology Volume 2, Issue 8, November 2014, PP 84-89 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) SIC Vector Generation Using Test per Clock

More information

Sequential Circuit Design: Principle

Sequential Circuit Design: Principle Sequential Circuit Design: Principle modified by L.Aamodt 1 Outline 1. 2. 3. 4. 5. 6. 7. 8. Overview on sequential circuits Synchronous circuits Danger of synthesizing asynchronous circuit Inference of

More information

Diagnosis of Resistive open Fault using Scan Based Techniques

Diagnosis of Resistive open Fault using Scan Based Techniques Diagnosis of Resistive open Fault using Scan Based Techniques 1 Mr. A. Muthu Krishnan. M.E., (Ph.D), 2. G. Chandra Theepa Assistant Professor 1, PG Scholar 2,Dept. of ECE, Regional Office, Anna University,

More information

Logic and Computer Design Fundamentals. Chapter 7. Registers and Counters

Logic and Computer Design Fundamentals. Chapter 7. Registers and Counters Logic and Computer Design Fundamentals Chapter 7 Registers and Counters Registers Register a collection of binary storage elements In theory, a register is sequential logic which can be defined by a state

More information

Testing Digital Systems II

Testing Digital Systems II Testing Digital Systems II Lecture 2: Design for Testability (I) structor: M. Tahoori Copyright 2010, M. Tahoori TDS II: Lecture 2 1 History During early years, design and test were separate The final

More information

Unit 8: Testability. Prof. Roopa Kulkarni, GIT, Belgaum. 29

Unit 8: Testability. Prof. Roopa Kulkarni, GIT, Belgaum. 29 Unit 8: Testability Objective: At the end of this unit we will be able to understand Design for testability (DFT) DFT methods for digital circuits: Ad-hoc methods Structured methods: Scan Level Sensitive

More information

Lec 24 Sequential Logic Revisited Sequential Circuit Design and Timing

Lec 24 Sequential Logic Revisited Sequential Circuit Design and Timing Traversing igital esign EECS - Components and esign Techniques for igital Systems EECS wks 6 - Lec 24 Sequential Logic Revisited Sequential Circuit esign and Timing avid Culler Electrical Engineering and

More information

Czech Technical University in Prague Faculty of Information Technology Department of Digital Design

Czech Technical University in Prague Faculty of Information Technology Department of Digital Design Czech Technical University in Prague Faculty of Information Technology Department of Digital Design Digital Circuits Testing Based on Pattern Overlapping and Broadcasting by Ing. Martin Chloupek A dissertation

More information

EITF35: Introduction to Structured VLSI Design

EITF35: Introduction to Structured VLSI Design EITF35: Introduction to Structured VLSI Design Part 4.2.1: Learn More Liang Liu liang.liu@eit.lth.se 1 Outline Crossing clock domain Reset, synchronous or asynchronous? 2 Why two DFFs? 3 Crossing clock

More information

Instructions. Final Exam CPSC/ELEN 680 December 12, Name: UIN:

Instructions. Final Exam CPSC/ELEN 680 December 12, Name: UIN: Final Exam CPSC/ELEN 680 December 12, 2005 Name: UIN: Instructions This exam is closed book. Provide brief but complete answers to the following questions in the space provided, using figures as necessary.

More information

A Technique to Reduce Peak Current and Average Power Dissipation in Scan Designs by Limited Capture

A Technique to Reduce Peak Current and Average Power Dissipation in Scan Designs by Limited Capture A Technique to Reduce Peak Current and Average Power Dissipation in Scan Designs by Limited Capture Seongmoon Wang Wenlong Wei NEC Labs., America, Princeton, NJ swang,wwei @nec-labs.com Abstract In this

More information

AC103/AT103 ANALOG & DIGITAL ELECTRONICS JUN 2015

AC103/AT103 ANALOG & DIGITAL ELECTRONICS JUN 2015 Q.2 a. Draw and explain the V-I characteristics (forward and reverse biasing) of a pn junction. (8) Please refer Page No 14-17 I.J.Nagrath Electronic Devices and Circuits 5th Edition. b. Draw and explain

More information

The basic logic gates are the inverter (or NOT gate), the AND gate, the OR gate and the exclusive-or gate (XOR). If you put an inverter in front of

The basic logic gates are the inverter (or NOT gate), the AND gate, the OR gate and the exclusive-or gate (XOR). If you put an inverter in front of 1 The basic logic gates are the inverter (or NOT gate), the AND gate, the OR gate and the exclusive-or gate (XOR). If you put an inverter in front of the AND gate, you get the NAND gate etc. 2 One of the

More information

I. INTRODUCTION. S Ramkumar. D Punitha

I. INTRODUCTION. S Ramkumar. D Punitha Efficient Test Pattern Generator for BIST Using Multiple Single Input Change Vectors D Punitha Master of Engineering VLSI Design Sethu Institute of Technology Kariapatti, Tamilnadu, 626106 India punithasuresh3555@gmail.com

More information

Implementation of BIST Test Generation Scheme based on Single and Programmable Twisted Ring Counters

Implementation of BIST Test Generation Scheme based on Single and Programmable Twisted Ring Counters IOSR Journal of Mechanical and Civil Engineering (IOSR-JMCE) e-issn: 2278-1684, p-issn: 2320-334X Implementation of BIST Test Generation Scheme based on Single and Programmable Twisted Ring Counters N.Dilip

More information

Asynchronous (Ripple) Counters

Asynchronous (Ripple) Counters Circuits for counting events are frequently used in computers and other digital systems. Since a counter circuit must remember its past states, it has to possess memory. The chapter about flip-flops introduced

More information

Software Engineering 2DA4. Slides 9: Asynchronous Sequential Circuits

Software Engineering 2DA4. Slides 9: Asynchronous Sequential Circuits Software Engineering 2DA4 Slides 9: Asynchronous Sequential Circuits Dr. Ryan Leduc Department of Computing and Software McMaster University Material based on S. Brown and Z. Vranesic, Fundamentals of

More information

Modifying the Scan Chains in Sequential Circuit to Reduce Leakage Current

Modifying the Scan Chains in Sequential Circuit to Reduce Leakage Current IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 3, Issue 1 (Sep. Oct. 2013), PP 01-09 e-issn: 2319 4200, p-issn No. : 2319 4197 Modifying the Scan Chains in Sequential Circuit to Reduce Leakage

More information

Long and Fast Up/Down Counters Pushpinder Kaur CHOUHAN 6 th Jan, 2003

Long and Fast Up/Down Counters Pushpinder Kaur CHOUHAN 6 th Jan, 2003 1 Introduction Long and Fast Up/Down Counters Pushpinder Kaur CHOUHAN 6 th Jan, 2003 Circuits for counting both forward and backward events are frequently used in computers and other digital systems. Digital

More information

Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA

Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA M.V.M.Lahari 1, M.Mani Kumari 2 1,2 Department of ECE, GVPCEOW,Visakhapatnam. Abstract The increasing growth of sub-micron

More information

Enhanced JTAG to test interconnects in a SoC

Enhanced JTAG to test interconnects in a SoC Enhanced JTAG to test interconnects in a SoC by Dany Lebel and Sorin Alin Herta 1 Enhanced JTAG to test interconnects in a SoC Dany Lebel (1271766) and Sorin Alin Herta (1317418) ELE-6306, Test de systèmes

More information

VARIABLE FREQUENCY CLOCKING HARDWARE

VARIABLE FREQUENCY CLOCKING HARDWARE VARIABLE FREQUENCY CLOCKING HARDWARE Variable-Frequency Clocking Hardware Many complex digital systems have components clocked at different frequencies Reason 1: to reduce power dissipation The active

More information

Built-In Self-Test (BIST) Abdil Rashid Mohamed, Embedded Systems Laboratory (ESLAB) Linköping University, Sweden

Built-In Self-Test (BIST) Abdil Rashid Mohamed, Embedded Systems Laboratory (ESLAB) Linköping University, Sweden Built-In Self-Test (BIST) Abdil Rashid Mohamed, abdmo@ida ida.liu.se Embedded Systems Laboratory (ESLAB) Linköping University, Sweden Introduction BIST --> Built-In Self Test BIST - part of the circuit

More information

Chapter 4. Logic Design

Chapter 4. Logic Design Chapter 4 Logic Design 4.1 Introduction. In previous Chapter we studied gates and combinational circuits, which made by gates (AND, OR, NOT etc.). That can be represented by circuit diagram, truth table

More information

A New Approach to Design Fault Coverage Circuit with Efficient Hardware Utilization for Testing Applications

A New Approach to Design Fault Coverage Circuit with Efficient Hardware Utilization for Testing Applications A New Approach to Design Fault Coverage Circuit with Efficient Hardware Utilization for Testing Applications S. Krishna Chaitanya Department of Electronics & Communication Engineering, Hyderabad Institute

More information

VirtualScan TM An Application Story

VirtualScan TM An Application Story Test Data Compaction Tool from SynTest TM VirtualScan TM An Application Story January 29, 2004 Hiroshi Furukawa SoC No. 3 Group, SoC Development Division 1 Agenda Current Problems What is VirtualScan?

More information

Impact of Test Point Insertion on Silicon Area and Timing during Layout

Impact of Test Point Insertion on Silicon Area and Timing during Layout Impact of Test Point Insertion on Silicon Area and Timing during Layout Harald Vranken Ferry Syafei Sapei 2 Hans-Joachim Wunderlich 2 Philips Research Laboratories IC Design Digital Design & Test Prof.

More information

UNIT IV. Sequential circuit

UNIT IV. Sequential circuit UNIT IV Sequential circuit Introduction In the previous session, we said that the output of a combinational circuit depends solely upon the input. The implication is that combinational circuits have no

More information

[Krishna*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785

[Krishna*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY DESIGN AND IMPLEMENTATION OF BIST TECHNIQUE IN UART SERIAL COMMUNICATION M.Hari Krishna*, P.Pavan Kumar * Electronics and Communication

More information

DELAY TEST SCAN FLIP-FLOP (DTSFF) DESIGN AND ITS APPLICATIONS FOR SCAN BASED DELAY TESTING

DELAY TEST SCAN FLIP-FLOP (DTSFF) DESIGN AND ITS APPLICATIONS FOR SCAN BASED DELAY TESTING DELAY TEST SCAN FLIP-FLOP (DTSFF) DESIGN AND ITS APPLICATIONS FOR SCAN BASED DELAY TESTING Except where reference is made to the work of others, the work described in this dissertation is my own or was

More information