ECE 532 Design Project Group Report. Virtual Piano

Size: px
Start display at page:

Download "ECE 532 Design Project Group Report. Virtual Piano"

Transcription

1 ECE 532 Design Project Group Report Virtual Piano Chi Wei Hecheng Wang April 9, 2012

2 Table of Contents 1 Overview Goals Background and motivation System overview IP and Hardware Descriptions Outcome Review of initial concept Our Final Product Improvements for Future Development Project Schedule Weekly Milestone Accomplishments Discuss and Evaluation Description of the Blocks MicroBlaze (software) Software Overview Data Structures Detection Algorithm Sound generation Sound Playback Control Video_TO_RAM Custom Tracking Logic Overview of Operation Tracking Logic Finite State Machine MPMC Controller PLB Bus OPB bus OPB-PLB, PLB-OPB Bridge OPB_AC97 Controller Description of Our Design Tree References Appendix Appendix A tone waveforms

3 1 Overview 1.1 Goals Any flat surface can become a virtual piano! The user merely plays as if on a real piano and a video camera tracks the movement of the fingers. When a finger touches any point on the Virtual Piano, the corresponding note is played back through the speakers. The user could lay down a paper cut-out of the piano keys on the surface or remove this visual reference for the truly wondrous experience of playing an invisible piano. 1.2 Background and motivation Musical instruments have long been a major source of entertainment in our culture. With all the advancements in DSP and electronics in the recent years, generating music electronically has never been easier. Yet many musicians still train with and prefer to play the physical instruments. With our project, we hope to advance the creation of music further into the digital realm while still drawing upon skills learned via traditional instruments. We have picked the Piano as our choice of instrument to digitize. The Piano is widely played by music students and musicians. It has already seen one level of digitization: the keyboard. However, while the keyboard allows us to fiddle with the digital sound output, it still relies on the physical input for playing. Our project takes the piano to the next level by removing the physical presence of the keys and allowing the piano to be played by recognizing the gestures of the hands of the player. 1.3 System overview Below is our system level block diagram. Figure 1 System Level Block Diagram 3

4 1.4 IP and Hardware Descriptions The following table provides a summary of all IP cores and hardware devices used for this project. Table 1 Summary of IP cores and devices IP/Hardware Function Author video_to_ram -Modified version of video_to_ram from Group (Tracking Logic) previous year s laser pointer game project -Detect dots of green colour and keeps track of the position 4 different dots. MicroBlaze Soft Processor Core for setting up video, hit Xilinx, program dlmb/dlmb_ctrl Ilmb/ilmb_ctrl PLB Bus detection and generation of sound Data memory controller interfaced through Local Memory Bus (LMB) Instruction memory controller interfaced through LMB 2 PLB buses are used: 1. used by MicroBlaze to talk to AC97 Controller(through OPB) and memory 2. used between video_to_ram and memory implemented by group Xilinx Xilinx Xilinx OPB Bus Used to communicate with AC97 Controller Xilinx PLB2OPB Bridge/ Establish Communication Channels between Xilinx OPB2PLB Bridge PLB and OPB Buses Debug module Enables XMD Xilinx (mdm) DDR_SDRAM (mpmc) Memory to store the Color limit value and Xilinx the coordinates of 4 green dots Opb_ac97 Audio Codec Controller Mike Wirthlin (ISU) LM4550 AC97 Codec National Semiconductor Camera Captures the video and finger movement Sony Video Daughter Card Interface with Camera Analog Devices UART Transmit debug info using RS232 Xilinx clock_generator Generate timing signals Xilinx led_debug_mux Speakers Display the debugging value controlled by DIP switches Play Audio Xilinx 4

5 2 Outcome 2.1 Review of initial concept All of the primary design goals have been met, these include: 1. Ability to play virtual piano on any flat light-colored surface. 2. Simultaneous recognition of up to four fingers.* 3. Ability to play one tone at a time in the range of a single octave. 4. White piano keys only 5. Response time of < 0.25 seconds. In fact the response seems to be less than 0.1 second. Some of the optional goals are also met, which include: 1. Allow piano to be played on any colored surface, light or dark. In fact the background color does not matter as long as it s not green. Furthermore, the lighting has minimal impact on the accuracy of the detection. 2. Increased the range to 1 note plus a full octave for 8 notes in total: C4,D4,E4,F4,G4,A4,B4,C5. 3. Increase number of tones which can be produced simultaneously to three. *the original goal of 5-finger tracking was modified to 4 fingers as the difference only has a minimal impact on the overall performance of the system. Thus it was revised after consulting with the TA. 2.2 Our Final Product The finished product as of the writing of this report is capable of detecting and tracking the coordinates of 4 green dots on fingertips simultaneously. We did not extend the number of tracking to more than 4 fingers due to the chord generation, which limits the number of tones in a chord to 3. Thus it would pointless to track 10 fingers as proposed initially since it would make no difference to the system s sound generating performances. For the physical setup of the system, the users are required to put on green stickers on their fingertips to enable finger tracking. A layout of keys is drawn on a white board as a reference to guide the user s playing. The system successfully generates the corresponding tones when a downward finger movement is detected on a specific region on the screen. Multiple fingers will generate a chord and the response time is satisfactory with little lagging. Overall, the project showed positive results in meeting our initial goals; most of the features important to the playing of virtual piano are implemented and fully tested. 5

6 Our physical system setup is as follows. Green stickers need to be put on to play the virtual piano. 2.3 Improvements for Future Development 1. The 3-tone chord isn t quite as smooth as the 2-tone chord. We suspect that it was due the slow processing speed of floating point operations that resulted in the empty space in the AC97 FIFO buffer. As a future improvement, additional MicroBlaze can be added dedicated to the sound generation. Alternatively, the sound generation could be done in hardware to speed up the process and eliminate the undesired characteristics of the current system. 2. The length of duration of playing is fixed at this point. In order to make the piano playing experience more realistic we can make the playback length vary depend on the 6

7 actual position and movement of the fingertips. Our detection module can detect fingers movement including upward and downward. This expansion is very straightforward and only requires modification to sound generation and playback control. 3. Black keys or half tone regions and sound can be added to increase the number of playable keys. Additional tones in general will also make the whole system more appealing. 3 Project Schedule 3.1 Weekly Milestone Accomplishments This is a summary of comparison between proposed milestones and actual weekly progress. Table 2 Summary of proposed Milestones and actual weekly progress Dates Proposed Milestones Actual Progress Feb 8 Create the base project and experiment with VGA decoder, AC 97 audio decoder and add MPMC to the system. Constructed a base project with MPMC and DDR memory as well as opb_ac97 core to control the AC97 codec Feb 15 Complete VGA_to_RAM, test video is captured and stored in ram correctly. Feb 22 (Reading week) (Reading week) Feb 29 Build and test basic sound generator module and active region detection module. Demonstrate software detection algorithm functionality. Mar 7 Mar 14 Complete active region detection module and sound generator module. Complete software detection algorithm. First integration attempt. Debug the entire system. 7 Successfully implemented video_to_ram module to capture the video data and store it in SDRAM. Tested sound generation as well as storing audio PCM data in the memory for playback. Implemented audio playback controller to play pre-stored audio tone samples from memory. Implemented hardware detection block to track a single red dot without simulation. Implemented the software detection algorithm and simulated and debugged in C. Simulation of single red dot tracking works, began building multipoint tracking. Integrated the software and hardware system. Added interrupt signal from the hardware and scaled down to 2 point tracking for debugging purpose. Mar 21 Major bugs should be fixed Major bugs fixed, as a result the basic functions of tracking of two red dots and playing corresponding tones are working. Mar 28 Optimization of software detection algorithm and hardware modules. Improve the sound Changed the color detection to green for better performance, increased the number of tracking dots to 3. Expanded the playable tones to 7.

8 Apr 4 The system should work properly. Fix the remaining minor bugs. Apr 11 Final Demo Final Demonstration Added additional tones for playing. Fixed the 4 dots tracking issue. Physical setup of the system was finalized 3.2 Discuss and Evaluation Throughout the development of this project, we were able to follow the pace of the proposed milestones fairly well. Although we intended to make the project progress at an even pace, it turned out that the original plan has a heavier load on the back end. The reason was that as the complexity of the system increased, the time and effort required to debug the system also increased drastically. As expected, the majority of our time was consumed trying to verify the functionalities and to debug the various issues resulted from the system integration. Even though we had modified the milestones each week, in most cases, they were merely changed to include specific details and to better reflect the actually progress of our work. During one week, however we did fall behind our schedule due to a bug in the video detection block. To remedy this, we worked extra hard for the next week and was able to catch up without further delays in our timeline. Overall, the original milestones were well set, but they could be improved by compressing some of the work at the front end to leave more time for debugging. 4 Description of the Blocks 4.1 MicroBlaze (software) Software Overview The software component contains three modules: detection, sound generation and sound playback control. Below is the program flow chart. 8

9 Program Initialization: Video module setup Audio module setup Initialize program global data structures and parameters Enable microblaze interrupt Program main loop: Check if audio INFIFO is full. If it s full, skip this iteration. Generate sound samples and feed the data into audio FIFO. If none of playback structure is occupied, clear FIFO. Resume Interrupt signal Interrupt Routine: Read updated coordinates from memory and validate new coordinates Updates four fingers states stored in dot structures. Update playback structure if any new event is triggered. (Key is pressed) Figure 2 Software Core Flow Chart The interrupt from tracking logic is triggered when new coordinates are available. The interrupt routine is kept to minimum size to save time for main loop to feed in sufficient samples Data Structures Two important data structures are created: dot structure and playback structure. Their definitions are the following: The dot_structure contains the position and state information of each finger. It is only updated in the interrupt routine. The playback_structure contains all the information needed for sound generation. We support up to three playback sources simultaneously so up to three tones can be played together to form chord. The playback_structure can only be marked as busy in the interrupt routine in the case of a key has been pressed. It can only be clearly in the main loop when the tone has finished playing. 9

10 In addition, some enum types are also created to better organize the program. The dot_state type contains supported tracking states. The region type stores all supported key regions. REGION_NON is the area outside of key regions Detection Algorithm The detection algorithm is implemented in Finite State Machine. The following is the FSM state diagram. Figure 3 Detection FSM The FSM is only updated in the interrupt routine. After processing each frame, the tracking logic will write the new coordinates to memory and send an interrupt signal to 10

11 notify software core. Done_Downward is the state that is important in this project as it indicates a key has been pressed. The threshold is the number of frames the finger keeps moving in the same direction before it enters the next state. This adjusts the sensitivity of the detection. After one key is pressed, if the finger still stays in the key regions, it will be blocked in IDEL state until it moves out of key regions. This covers the case when user puts the finger in the key regions after pressing the key. The user has to move his finger out of the key regions to reset the state and move downward again to trigger another event. Otherwise it is considered as the zombie state of previous event and will not trigger any new event. As described in the diagram, the detection algorithm does not only detect key pressing event, it also detects the movement of fingers. The implementation makes it possible to further develop the project if additional features need to be added. For example, piano sound usually lasts for certain length and start to fade out when the key is released. This key releasing state is already captured in the FSM Sound generation Considering the speed of microblaze, basic sound samples (one period for each tone) are pre-generated on PC with python script. AC97 is set to 44.1kHz sampling rate so every tone has fixed number of samples in one period. To have the highest sound quality, we also added harmonic frequencies and envelope. We in total implemented 8 tones from C4 to C5. They are in Motorola 16-bits format so that it s consistent with the system setup. Below is the frequency and waveform for C4. (See Appendix A for the rest tones). Figure 4 Piano Tone C4 Samples 261Hz, 167 Samples 11

12 To emulate the sound of piano, the envelope which we generated has the following shape. Figure 5 Envelope Coefficients Our system supports up to three tracks, so up to three tones can be played simultaneously. (Three tones can be the same tone) Because tones samples are generated separately, their samples are added up to form the combined sound before feeding into audio buffer. The details of combining sound are discussed in sound playback control section Sound Playback Control In the main loop, it keeps checking if any of three playback sources is on. If there s playback source with data available, then combine these sources and feed the sample into AC 97 in buffer. Otherwise clear the INFIFO and wait for new tones. Below is the sound combination logic. Figure 6 Sound Combination Logic The playback of each tone is set to the same length. When all the samples are fed into the buffer, the playback source will be marked free and made available to accept new tones. When all three playback sources are occupied, pressing the key will not have any effect and this event will be ignored and lost. 12

13 4.2 Video_TO_RAM Custom Tracking Logic Overview of Operation This custom block was based on the video_to_ram block from the video demo project. This block performs two key functions color detection and position tracking built on the existing functions embedded in the original video_to_ram block. Namely, the original block accomplishes the following, it decodes the streaming video data, and converts the YCrCb format into RGB format, then writes them into two line buffers, then writes the line buffers content to the memory. See figure below. Figure 7 Video Processing Flow Chart Since we do not have a video output feature, we have changed the block so it no longer writes the buffer content to the memory. Instead, the custom tracking logic is implemented by reading every pixel data from the line buffers (alternating between the two and only read when it is not being written to by the RGB decoder). The RGB value of the pixel is then evaluated according to the content of the r_current_color_limits register and the coordinates of the pixel is saved if it is deemed a valid data Tracking Logic The following diagram shows the detection logic used for color detection and tracking. 13

14 Figure 8 Tracking Logic Diagram The color margin and position margin are encoded from the r_current_color_limits register, which is read from the memory location 0x It is encoded as the following: Not Used Positional Margin Color Margin [31:16] [15:8] [7:0] The location registers contains the data of frame number, pixel count, line count and the valid bit. The frame number, which is incremented for every new frame, is used only for debugging purpose. The pixel count, which is incremented for every time a new pixel is read from the buffer for detection, is used as the X coordinate. The line count, which is incremented for every new line from the incoming video stream, is used as the Y coordinates. They are encoded as the following: Frame Number Y Coordinate Valid Bit X Coordinate [0:9] [10:19] [22] [21:31] 14

15 The location register are sorted according to their X coordinate values and then written to the memory address from the smallest to the largest at 0x , 0x , 0x c and 0x Finite State Machine Key FSM states are described in the following. Figure 9 Tracking Logic FSM Diagram S_LINE_HOLDER: A single pixel is read out of the line buffer and the tracking logic determines whether the location registers should be updated according to the color limit register. S_READ_REQUEST: Appropriate signals are asserted on the PLB in order to request a 4 byte read at the memory location of the color limits. S_READ: the color limit register is updated with the content in the memory 15

16 S_WRITE_REQUEST_X: Appropriate signals are asserted on the PLB bus in order to request a 4 byte write at the memory location for the dots coordinates. The content of the location register X is also placed on the data bus. S_FINAL: The FSM stalls here until a new frame is ready, i.e. line count becomes 0. Also sends an interrupt signal to microblaze to signal the memory has been updated. Essentially, the FSM processes an entire frame while it spins in S_LINE_HOLDER. During this time, the location registers content are updated accordingly. Once the whole frame is done, FSM performs memory updates. It firsts reads a 32 bit data from memory to update the color limit register, then writes the 4 sets of coordinates one by one to the memory. 4.3 MPMC Controller The MPMC (multi-port memory controller) is an IP block in the Xilinx IP library. It allows up to 8 buses to be connected to the same memory through different ports. In this project, we used a total of 2 ports in the MPMC: Port 0: used by the Microblaze to write hardware configurations and color limit and read the coordinates of the 4 finger tips. Port 1: used by the video_to_ram custom tracking IP block to read the color limit and write the coordinates to the memory. 4.4 PLB Bus Processer Local Bus is obtained from the Xilinx IP catalog under the name of plb_v64. The PLB bus provides a connection between an optional numbers of PLB masters and slaves. It became the key transportation of data from one module to another. To eliminate bottleneck to the Memory, we used 2 PLB buses in our system, 1. Used for the microblaze to communicate with the DDR opb_ac Used between video_to_ram tracking block and memory. 4.5 OPB bus On-Chip Peripheral Bus, obtained as an Xilinx IP. Used for communication between MicroBlaze and its peripherals. The reason is bus was used was because the AC97 controller block was built with an OPB interface. 16

17 4.6 OPB-PLB, PLB-OPB Bridge Bridge which enables communication between the PLB and OPB buses, allows the Microblaze which sits on the PLB to send commands to the AC97 controller on the OPB bus and write playback data to the codec. 4.7 OPB_AC97 Controller The opb_ac97 (Wirthlin) is the audio codec controller. It is an OPB slave that provides a register based interface for the codec. It was found as part of the labs for an ECE course at Iowa State University, and was created by Prof. Mike Wirthlin of Brigham Young University. The controller interacts with the audio codec using 5 ports: SDATA_OUT: From opb_ac97 to codec. Serial input of data frames for codec sampled on the falling edge of BIT_CLK. BIT_CLK: From codec to opb_ac MHz clock. SDATA_IN: From codec to opb_ac97. Serial output of data frames for codec sampled on the rising edge of BIT_CLK. SYNC: From opb_ac97 to codec. Defines boundaries of data frames. RESET#: From opb_ac97 to codec. Active low hardware reset. 5 Description of Our Design Tree Table 3 Design Tree Directory/File Description./_xps Xilinx generated directory, contains options files for bitinit, libgen, simgen and platgen./blkdiagram Block diagram generated by XPS./data/system.ucf System constraint file; contains external pins assignment./drivers Includes the drivers for AC97./etc Option files for bitgen and downloading./ub The processor./doc/final Report.pdf Group report of our project./pcores/led_debug_mux_v1_00_a Contains core files for led mux./pcores/opb_ac97_v2_00_a Contains core files for AC97./pcores/video_to_ram_v1_00_a Contains core files for Custom tracking module./sw/main.c Software core, contains three modules: Finger movement detection Sound generation Sound playback controller./sw/main.h Header file contains constants and parameters needed by software core./readme Design tree documentation 17

18 References [1] Video to RAM deco project, with core video_to_ram from Jeffrey Goeders, retrieved from Piazza. [2] Latchezar Dimitrov, Jonathon Riley, Steven Doo, ECE532 Digital System Design, Laser Pointer Project [3] IBM Corp. (2007, May) IBM 128-Bit Processor Local Bus Architecture Specifications Version 4.7. [Online] ibm.com/chips/techlib/techlib.nsf/techdocs/3BBB27E5BCC165BA87256A2B0064FFB 4/$file/PlbBus_as_01_pub.pdf [4] AC97-LM4550, Texas Instrument. Literature Number: SNAS032E. 18

19 Appendix Appendix A Tone Samples in Waveform Piano Tone D4 293Hz, 150 samples Piano Tone E4 329Hz, 134 samples 19

20 Piano Tone F4 349Hz, 126 samples Piano Tone G4 392Hz, 112 samples 20

21 Piano Tone A4 440Hz, 100 samples Piano Tone B4 494Hz, 89 samples 21

22 Piano Tone C5 523Hz, 84 samples 22

ECE532 Digital System Design Title: Stereoscopic Depth Detection Using Two Cameras. Final Design Report

ECE532 Digital System Design Title: Stereoscopic Depth Detection Using Two Cameras. Final Design Report ECE532 Digital System Design Title: Stereoscopic Depth Detection Using Two Cameras Group #4 Prof: Chow, Paul Student 1: Robert An Student 2: Kai Chun Chou Student 3: Mark Sikora April 10 th, 2015 Final

More information

ECE 532 Group Report: Virtual Boxing Game

ECE 532 Group Report: Virtual Boxing Game ECE 532 Group Report: Virtual Boxing Game Group 18 Professor: Paul Chow TA: Vincent Mirian Ryan Fernandes Martin Kovac Zhan Jun Liau Table of Contents 1.0 Overview... 3 1.1 Motivation... 3 1.2 Goals and

More information

ECE 532 PONG Group Report

ECE 532 PONG Group Report ECE 532 PONG Group Report Chirag Ravishankar (995399108) Durwyn D Silva (994761496) Jeffrey Goeders (993367566) April 5, 2010 Contents 1 Overview... 3 1.1 Goals... 3 1.2 Background... 3 1.3 System Overview...

More information

Pivoting Object Tracking System

Pivoting Object Tracking System Pivoting Object Tracking System [CSEE 4840 Project Design - March 2009] Damian Ancukiewicz Applied Physics and Applied Mathematics Department da2260@columbia.edu Jinglin Shen Electrical Engineering Department

More information

Figure 1: Feature Vector Sequence Generator block diagram.

Figure 1: Feature Vector Sequence Generator block diagram. 1 Introduction Figure 1: Feature Vector Sequence Generator block diagram. We propose designing a simple isolated word speech recognition system in Verilog. Our design is naturally divided into two modules.

More information

UNIVERSITY OF TORONTO JOÃO MARCUS RAMOS BACALHAU GUSTAVO MAIA FERREIRA HEYANG WANG ECE532 FINAL DESIGN REPORT HOLE IN THE WALL

UNIVERSITY OF TORONTO JOÃO MARCUS RAMOS BACALHAU GUSTAVO MAIA FERREIRA HEYANG WANG ECE532 FINAL DESIGN REPORT HOLE IN THE WALL UNIVERSITY OF TORONTO JOÃO MARCUS RAMOS BACALHAU GUSTAVO MAIA FERREIRA HEYANG WANG ECE532 FINAL DESIGN REPORT HOLE IN THE WALL Toronto 2015 Summary 1 Overview... 5 1.1 Motivation... 5 1.2 Goals... 5 1.3

More information

FPGA Laboratory Assignment 4. Due Date: 06/11/2012

FPGA Laboratory Assignment 4. Due Date: 06/11/2012 FPGA Laboratory Assignment 4 Due Date: 06/11/2012 Aim The purpose of this lab is to help you understanding the fundamentals of designing and testing memory-based processing systems. In this lab, you will

More information

Design and Implementation of SOC VGA Controller Using Spartan-3E FPGA

Design and Implementation of SOC VGA Controller Using Spartan-3E FPGA Design and Implementation of SOC VGA Controller Using Spartan-3E FPGA 1 ARJUNA RAO UDATHA, 2 B.SUDHAKARA RAO, 3 SUDHAKAR.B. 1 Dept of ECE, PG Scholar, 2 Dept of ECE, Associate Professor, 3 Electronics,

More information

EDA385 Bomberman. Fredrik Ahlberg Adam Johansson Magnus Hultin

EDA385 Bomberman. Fredrik Ahlberg Adam Johansson Magnus Hultin EDA385 Bomberman Fredrik Ahlberg ael09fah@student.lu.se Adam Johansson rys08ajo@student.lu.se Magnus Hultin ael08mhu@student.lu.se 2013-09-23 Abstract This report describes how a Super Nintendo Entertainment

More information

Sundance Multiprocessor Technology Limited. Capture Demo For Intech Unit / Module Number: C Hong. EVP6472 Intech Demo. Abstract

Sundance Multiprocessor Technology Limited. Capture Demo For Intech Unit / Module Number: C Hong. EVP6472 Intech Demo. Abstract Sundance Multiprocessor Technology Limited EVP6472 Intech Demo Unit / Module Description: Capture Demo For Intech Unit / Module Number: EVP6472-SMT909 Document Issue Number 1.1 Issue Data: 25th Augest

More information

ECE532 A/V Touch Miaad S. Aliroteh, Joe Garvey, Ben Hare 4/9/2012

ECE532 A/V Touch Miaad S. Aliroteh, Joe Garvey, Ben Hare 4/9/2012 ECE532 A/V Touch Miaad S. Aliroteh, Joe Garvey, Ben Hare 4/9/2012 Table of Contents 1 OVERVIEW... 4 1.1 Motivation... 4 1.2 Goals... 4 1.3 Block Diagram... 5 1.4 Brief Description of IP... 5 2 OUTCOME...

More information

Digilent Nexys-3 Cellular RAM Controller Reference Design Overview

Digilent Nexys-3 Cellular RAM Controller Reference Design Overview Digilent Nexys-3 Cellular RAM Controller Reference Design Overview General Overview This document describes a reference design of the Cellular RAM (or PSRAM Pseudo Static RAM) controller for the Digilent

More information

Radar Signal Processing Final Report Spring Semester 2017

Radar Signal Processing Final Report Spring Semester 2017 Radar Signal Processing Final Report Spring Semester 2017 Full report report by Brian Larson Other team members, Grad Students: Mohit Kumar, Shashank Joshil Department of Electrical and Computer Engineering

More information

AD9884A Evaluation Kit Documentation

AD9884A Evaluation Kit Documentation a (centimeters) AD9884A Evaluation Kit Documentation Includes Documentation for: - AD9884A Evaluation Board - SXGA Panel Driver Board Rev 0 1/4/2000 Evaluation Board Documentation For the AD9884A Purpose

More information

Sundance Multiprocessor Technology Limited. Capture Demo For Intech Unit / Module Number: C Hong. EVP6472 Intech Demo. Abstract

Sundance Multiprocessor Technology Limited. Capture Demo For Intech Unit / Module Number: C Hong. EVP6472 Intech Demo. Abstract Sundance Multiprocessor Technology Limited EVP6472 Intech Demo Unit / Module Description: Capture Demo For Intech Unit / Module Number: EVP6472-SMT949 Document Issue Number 1.1 Issue Data: 27th April 2012

More information

ECE 4220 Real Time Embedded Systems Final Project Spectrum Analyzer

ECE 4220 Real Time Embedded Systems Final Project Spectrum Analyzer ECE 4220 Real Time Embedded Systems Final Project Spectrum Analyzer by: Matt Mazzola 12222670 Abstract The design of a spectrum analyzer on an embedded device is presented. The device achieves minimum

More information

Video Painting Group Report

Video Painting Group Report Video Painting Group Report Opal Densmore Kei-Ming Kwong Wahid Rahman Digital System Design (ECE532H1S) Prof. Paul Chow TA: Jasmina Vasiljevic April 10, 2014 Contents List of Figures... ii List of Tables...

More information

Design and Implementation of Timer, GPIO, and 7-segment Peripherals

Design and Implementation of Timer, GPIO, and 7-segment Peripherals Design and Implementation of Timer, GPIO, and 7-segment Peripherals 1 Module Overview Learn about timers, GPIO and 7-segment display; Design and implement an AHB timer, a GPIO peripheral, and a 7-segment

More information

Automatic Projector Tilt Compensation System

Automatic Projector Tilt Compensation System Automatic Projector Tilt Compensation System Ganesh Ajjanagadde James Thomas Shantanu Jain October 30, 2014 1 Introduction Due to the advances in semiconductor technology, today s display projectors can

More information

Checkpoint 1 AC97 Audio

Checkpoint 1 AC97 Audio UNIVERSITY OF CALIFORNIA AT BERKELEY COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL ENGINEERING AND COMPUTER SCIENCE Checkpoint 1 AC97 Audio 1.0 Motivation One of the most difficult aspects of digital

More information

Video Output and Graphics Acceleration

Video Output and Graphics Acceleration Video Output and Graphics Acceleration Overview Frame Buffer and Line Drawing Engine Prof. Kris Pister TAs: Vincent Lee, Ian Juch, Albert Magyar Version 1.5 In this project, you will use SDRAM to implement

More information

Solutions to Embedded System Design Challenges Part II

Solutions to Embedded System Design Challenges Part II Solutions to Embedded System Design Challenges Part II Time-Saving Tips to Improve Productivity In Embedded System Design, Validation and Debug Hi, my name is Mike Juliana. Welcome to today s elearning.

More information

FPGA Development for Radar, Radio-Astronomy and Communications

FPGA Development for Radar, Radio-Astronomy and Communications John-Philip Taylor Room 7.03, Department of Electrical Engineering, Menzies Building, University of Cape Town Cape Town, South Africa 7701 Tel: +27 82 354 6741 email: tyljoh010@myuct.ac.za Internet: http://www.uct.ac.za

More information

SPI Serial Communication and Nokia 5110 LCD Screen

SPI Serial Communication and Nokia 5110 LCD Screen 8 SPI Serial Communication and Nokia 5110 LCD Screen 8.1 Objectives: Many devices use Serial Communication to communicate with each other. The advantage of serial communication is that it uses relatively

More information

Traffic Light Controller

Traffic Light Controller Traffic Light Controller Four Way Intersection Traffic Light System Fall-2017 James Todd, Thierno Barry, Andrew Tamer, Gurashish Grewal Electrical and Computer Engineering Department School of Engineering

More information

Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science Introductory Digital Systems Laboratory

Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science Introductory Digital Systems Laboratory Problem Set Issued: March 2, 2007 Problem Set Due: March 14, 2007 Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science 6.111 Introductory Digital Systems Laboratory

More information

VHDL Design and Implementation of FPGA Based Logic Analyzer: Work in Progress

VHDL Design and Implementation of FPGA Based Logic Analyzer: Work in Progress VHDL Design and Implementation of FPGA Based Logic Analyzer: Work in Progress Nor Zaidi Haron Ayer Keroh +606-5552086 zaidi@utem.edu.my Masrullizam Mat Ibrahim Ayer Keroh +606-5552081 masrullizam@utem.edu.my

More information

VID_OVERLAY. Digital Video Overlay Module Rev Key Design Features. Block Diagram. Applications. Pin-out Description

VID_OVERLAY. Digital Video Overlay Module Rev Key Design Features. Block Diagram. Applications. Pin-out Description Key Design Features Block Diagram Synthesizable, technology independent VHDL IP Core Video overlays on 24-bit RGB or YCbCr 4:4:4 video Supports all video resolutions up to 2 16 x 2 16 pixels Supports any

More information

Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science Introductory Digital Systems Laboratory

Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science Introductory Digital Systems Laboratory Problem Set Issued: March 3, 2006 Problem Set Due: March 15, 2006 Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science 6.111 Introductory Digital Systems Laboratory

More information

EEM Digital Systems II

EEM Digital Systems II ANADOLU UNIVERSITY DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING EEM 334 - Digital Systems II LAB 3 FPGA HARDWARE IMPLEMENTATION Purpose In the first experiment, four bit adder design was prepared

More information

Laboratory Exercise 4

Laboratory Exercise 4 Laboratory Exercise 4 Polling and Interrupts The purpose of this exercise is to learn how to send and receive data to/from I/O devices. There are two methods used to indicate whether or not data can be

More information

Design and Implementation of an AHB VGA Peripheral

Design and Implementation of an AHB VGA Peripheral Design and Implementation of an AHB VGA Peripheral 1 Module Overview Learn about VGA interface; Design and implement an AHB VGA peripheral; Program the peripheral using assembly; Lab Demonstration. System

More information

SignalTap Plus System Analyzer

SignalTap Plus System Analyzer SignalTap Plus System Analyzer June 2000, ver. 1 Data Sheet Features Simultaneous internal programmable logic device (PLD) and external (board-level) logic analysis 32-channel external logic analyzer 166

More information

1 Terasic Inc. D8M-GPIO User Manual

1  Terasic Inc. D8M-GPIO User Manual 1 Chapter 1 D8M Development Kit... 4 1.1 Package Contents... 4 1.2 D8M System CD... 5 1.3 Assemble the Camera... 5 1.4 Getting Help... 6 Chapter 2 Introduction of the D8M Board... 7 2.1 Features... 7 2.2

More information

Sapera LT 8.0 Acquisition Parameters Reference Manual

Sapera LT 8.0 Acquisition Parameters Reference Manual Sapera LT 8.0 Acquisition Parameters Reference Manual sensors cameras frame grabbers processors software vision solutions P/N: OC-SAPM-APR00 www.teledynedalsa.com NOTICE 2015 Teledyne DALSA, Inc. All rights

More information

Design and analysis of microcontroller system using AMBA- Lite bus

Design and analysis of microcontroller system using AMBA- Lite bus Design and analysis of microcontroller system using AMBA- Lite bus Wang Hang Suan 1,*, and Asral Bahari Jambek 1 1 School of Microelectronic Engineering, Universiti Malaysia Perlis, Perlis, Malaysia Abstract.

More information

TV Character Generator

TV Character Generator TV Character Generator TV CHARACTER GENERATOR There are many ways to show the results of a microcontroller process in a visual manner, ranging from very simple and cheap, such as lighting an LED, to much

More information

Using on-chip Test Pattern Compression for Full Scan SoC Designs

Using on-chip Test Pattern Compression for Full Scan SoC Designs Using on-chip Test Pattern Compression for Full Scan SoC Designs Helmut Lang Senior Staff Engineer Jens Pfeiffer CAD Engineer Jeff Maguire Principal Staff Engineer Motorola SPS, System-on-a-Chip Design

More information

Report. Digital Systems Project. Final Project - Synthesizer

Report. Digital Systems Project. Final Project - Synthesizer Dep. Eng. Electrotécnica e de Computadores Report Digital Systems Project Final Project - Synthesizer Authors: Ana Cláudia Fernandes dos Reis 2011149543 Francisca Agra de Almeida Quadros 2011149841 Date:

More information

Interfacing the TLC5510 Analog-to-Digital Converter to the

Interfacing the TLC5510 Analog-to-Digital Converter to the Application Brief SLAA070 - April 2000 Interfacing the TLC5510 Analog-to-Digital Converter to the TMS320C203 DSP Perry Miller Mixed Signal Products ABSTRACT This application report is a summary of the

More information

BUSES IN COMPUTER ARCHITECTURE

BUSES IN COMPUTER ARCHITECTURE BUSES IN COMPUTER ARCHITECTURE The processor, main memory, and I/O devices can be interconnected by means of a common bus whose primary function is to provide a communication path for the transfer of data.

More information

LogiCORE IP AXI Video Direct Memory Access v5.01.a

LogiCORE IP AXI Video Direct Memory Access v5.01.a LogiCORE IP AXI Video Direct Memory Access v5.01.a Product Guide Table of Contents Chapter 1: Overview Feature Summary.................................................................. 9 Applications.....................................................................

More information

EECS150 - Digital Design Lecture 10 - Interfacing. Recap and Topics

EECS150 - Digital Design Lecture 10 - Interfacing. Recap and Topics EECS150 - Digital Design Lecture 10 - Interfacing Oct. 1, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy of Prof. John Wawrzynek)

More information

Fingerprint Verification System

Fingerprint Verification System Fingerprint Verification System Cheryl Texin Bashira Chowdhury 6.111 Final Project Spring 2006 Abstract This report details the design and implementation of a fingerprint verification system. The system

More information

Logic Devices for Interfacing, The 8085 MPU Lecture 4

Logic Devices for Interfacing, The 8085 MPU Lecture 4 Logic Devices for Interfacing, The 8085 MPU Lecture 4 1 Logic Devices for Interfacing Tri-State devices Buffer Bidirectional Buffer Decoder Encoder D Flip Flop :Latch and Clocked 2 Tri-state Logic Outputs

More information

Processor time 9 Used memory 9. Lost video frames 11 Storage buffer 11 Received rate 11

Processor time 9 Used memory 9. Lost video frames 11 Storage buffer 11 Received rate 11 Processor time 9 Used memory 9 Lost video frames 11 Storage buffer 11 Received rate 11 2 3 After you ve completed the installation and configuration, run AXIS Installation Verifier from the main menu icon

More information

Frame Processing Time Deviations in Video Processors

Frame Processing Time Deviations in Video Processors Tensilica White Paper Frame Processing Time Deviations in Video Processors May, 2008 1 Executive Summary Chips are increasingly made with processor designs licensed as semiconductor IP (intellectual property).

More information

Laser Conductor. James Noraky and Scott Skirlo. Introduction

Laser Conductor. James Noraky and Scott Skirlo. Introduction Laser Conductor James Noraky and Scott Skirlo Introduction After a long week of research, most MIT graduate students like to unwind by playing video games. To feel less guilty about being sedentary all

More information

Graduate Institute of Electronics Engineering, NTU Digital Video Recorder

Graduate Institute of Electronics Engineering, NTU Digital Video Recorder Digital Video Recorder Advisor: Prof. Andy Wu 2004/12/16 Thursday ACCESS IC LAB Specification System Architecture Outline P2 Function: Specification Record NTSC composite video Video compression/processing

More information

TransitHound Cellphone Detector User Manual Version 1.3

TransitHound Cellphone Detector User Manual Version 1.3 TransitHound Cellphone Detector User Manual Version 1.3 RF3 RF2 Table of Contents Introduction...3 PC Requirements...3 Unit Description...3 Electrical Interfaces...4 Interface Cable...5 USB to Serial Interface

More information

Design of VGA Controller using VHDL for LCD Display using FPGA

Design of VGA Controller using VHDL for LCD Display using FPGA International OPEN ACCESS Journal Of Modern Engineering Research (IJMER) Design of VGA Controller using VHDL for LCD Display using FPGA Khan Huma Aftab 1, Monauwer Alam 2 1, 2 (Department of ECE, Integral

More information

Fast Quadrature Decode TPU Function (FQD)

Fast Quadrature Decode TPU Function (FQD) PROGRAMMING NOTE Order this document by TPUPN02/D Fast Quadrature Decode TPU Function (FQD) by Jeff Wright 1 Functional Overview The fast quadrature decode function is a TPU input function that uses two

More information

Experiment: FPGA Design with Verilog (Part 4)

Experiment: FPGA Design with Verilog (Part 4) Department of Electrical & Electronic Engineering 2 nd Year Laboratory Experiment: FPGA Design with Verilog (Part 4) 1.0 Putting everything together PART 4 Real-time Audio Signal Processing In this part

More information

Digital Audio Design Validation and Debugging Using PGY-I2C

Digital Audio Design Validation and Debugging Using PGY-I2C Digital Audio Design Validation and Debugging Using PGY-I2C Debug the toughest I 2 S challenges, from Protocol Layer to PHY Layer to Audio Content Introduction Today s digital systems from the Digital

More information

Tempo Estimation and Manipulation

Tempo Estimation and Manipulation Hanchel Cheng Sevy Harris I. Introduction Tempo Estimation and Manipulation This project was inspired by the idea of a smart conducting baton which could change the sound of audio in real time using gestures,

More information

The World Leader in High Performance Signal Processing Solutions. Section 15. Parallel Peripheral Interface (PPI)

The World Leader in High Performance Signal Processing Solutions. Section 15. Parallel Peripheral Interface (PPI) The World Leader in High Performance Signal Processing Solutions Section 5 Parallel Peripheral Interface (PPI) L Core Timer 64 Performance Core Monitor Processor ADSP-BF533 Block Diagram Instruction Memory

More information

Design and implementation (in VHDL) of a VGA Display and Light Sensor to run on the Nexys4DDR board Report and Signoff due Week 6 (October 4)

Design and implementation (in VHDL) of a VGA Display and Light Sensor to run on the Nexys4DDR board Report and Signoff due Week 6 (October 4) ECE 574: Modeling and synthesis of digital systems using Verilog and VHDL Fall Semester 2017 Design and implementation (in VHDL) of a VGA Display and Light Sensor to run on the Nexys4DDR board Report and

More information

Week 5 Dr. David Ward Hybrid Embedded Systems

Week 5 Dr. David Ward Hybrid Embedded Systems Week 5 Dr. David Ward Hybrid Embedded Systems Today s Agenda Discuss Homework and Labs HW #2 due September 24 (this Friday by midnight) Don t start Lab # 5 until next week Work on HW #2 in today s lab

More information

Block Diagram. 16/24/32 etc. pixin pixin_sof pixin_val. Supports 300 MHz+ operation on basic FPGA devices 2 Memory Read/Write Arbiter SYSTEM SIGNALS

Block Diagram. 16/24/32 etc. pixin pixin_sof pixin_val. Supports 300 MHz+ operation on basic FPGA devices 2 Memory Read/Write Arbiter SYSTEM SIGNALS Key Design Features Block Diagram Synthesizable, technology independent IP Core for FPGA, ASIC or SoC Supplied as human readable VHDL (or Verilog) source code Output supports full flow control permitting

More information

Lattice Embedded Vision Development Kit User Guide

Lattice Embedded Vision Development Kit User Guide FPGA-UG-02015 Version 1.1 January 2018 Contents Acronyms in This Document... 3 1. Introduction... 4 2. Functional Description... 5 CrossLink... 5 ECP5... 6 SiI1136... 6 3. Demo Requirements... 7 CrossLink

More information

CHECKPOINT 2.5 FOUR PORT ARBITER AND USER INTERFACE

CHECKPOINT 2.5 FOUR PORT ARBITER AND USER INTERFACE 1.0 MOTIVATION UNIVERSITY OF CALIFORNIA AT BERKELEY COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL ENGINEERING AND COMPUTER SCIENCE CHECKPOINT 2.5 FOUR PORT ARBITER AND USER INTERFACE Please note that

More information

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath Objectives Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath In the previous chapters we have studied how to develop a specification from a given application, and

More information

6.111 Project Proposal IMPLEMENTATION. Lyne Petse Szu-Po Wang Wenting Zheng

6.111 Project Proposal IMPLEMENTATION. Lyne Petse Szu-Po Wang Wenting Zheng 6.111 Project Proposal Lyne Petse Szu-Po Wang Wenting Zheng Overview: Technology in the biomedical field has been advancing rapidly in the recent years, giving rise to a great deal of efficient, personalized

More information

At-speed Testing of SOC ICs

At-speed Testing of SOC ICs At-speed Testing of SOC ICs Vlado Vorisek, Thomas Koch, Hermann Fischer Multimedia Design Center, Semiconductor Products Sector Motorola Munich, Germany Abstract This paper discusses the aspects and associated

More information

AN-ENG-001. Using the AVR32 SoC for real-time video applications. Written by Matteo Vit, Approved by Andrea Marson, VERSION: 1.0.0

AN-ENG-001. Using the AVR32 SoC for real-time video applications. Written by Matteo Vit, Approved by Andrea Marson, VERSION: 1.0.0 Written by Matteo Vit, R&D Engineer Dave S.r.l. Approved by Andrea Marson, CTO Dave S.r.l. DAVE S.r.l. www.dave.eu VERSION: 1.0.0 DOCUMENT CODE: AN-ENG-001 NO. OF PAGES: 8 AN-ENG-001 Using the AVR32 SoC

More information

Inside Digital Design Accompany Lab Manual

Inside Digital Design Accompany Lab Manual 1 Inside Digital Design, Accompany Lab Manual Inside Digital Design Accompany Lab Manual Simulation Prototyping Synthesis and Post Synthesis Name- Roll Number- Total/Obtained Marks- Instructor Signature-

More information

THE USE OF forward error correction (FEC) in optical networks

THE USE OF forward error correction (FEC) in optical networks IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 52, NO. 8, AUGUST 2005 461 A High-Speed Low-Complexity Reed Solomon Decoder for Optical Communications Hanho Lee, Member, IEEE Abstract

More information

Good afternoon! My name is Swetha Mettala Gilla you can call me Swetha.

Good afternoon! My name is Swetha Mettala Gilla you can call me Swetha. Good afternoon! My name is Swetha Mettala Gilla you can call me Swetha. I m a student at the Electrical and Computer Engineering Department and at the Asynchronous Research Center. This talk is about the

More information

Lab experience 1: Introduction to LabView

Lab experience 1: Introduction to LabView Lab experience 1: Introduction to LabView LabView is software for the real-time acquisition, processing and visualization of measured data. A LabView program is called a Virtual Instrument (VI) because

More information

EECS150 - Digital Design Lecture 12 Project Description, Part 2

EECS150 - Digital Design Lecture 12 Project Description, Part 2 EECS150 - Digital Design Lecture 12 Project Description, Part 2 February 27, 2003 John Wawrzynek/Sandro Pintz Spring 2003 EECS150 lec12-proj2 Page 1 Linux Command Server network VidFX Video Effects Processor

More information

Multiband Noise Reduction Component for PurePath Studio Portable Audio Devices

Multiband Noise Reduction Component for PurePath Studio Portable Audio Devices Multiband Noise Reduction Component for PurePath Studio Portable Audio Devices Audio Converters ABSTRACT This application note describes the features, operating procedures and control capabilities of a

More information

EECS 578 SVA mini-project Assigned: 10/08/15 Due: 10/27/15

EECS 578 SVA mini-project Assigned: 10/08/15 Due: 10/27/15 EECS578 Prof. Bertacco Fall 2015 EECS 578 SVA mini-project Assigned: 10/08/15 Due: 10/27/15 1. Overview This project focuses on designing a test plan and a set of test programs for a digital reverberation

More information

Single Channel LVDS Tx

Single Channel LVDS Tx April 2013 Introduction Reference esign R1162 Low Voltage ifferential Signaling (LVS) is an electrical signaling system that can run at very high speeds over inexpensive twisted-pair copper cables. It

More information

Parallel Peripheral Interface (PPI)

Parallel Peripheral Interface (PPI) The World Leader in High Performance Signal Processing Solutions Parallel Peripheral Interface (PPI) Support Email: china.dsp@analog.com ADSP-BF533 Block Diagram Core Timer 64 L1 Instruction Memory Performance

More information

Users Manual FWI HiDef Sync Stripper

Users Manual FWI HiDef Sync Stripper Users Manual FWI HiDef Sync Stripper Allows "legacy" motion control and film synchronizing equipment to work with modern HDTV cameras and monitors providing Tri-Level sync signals. Generates a film-camera

More information

9 Analyzing Digital Sources and Cables

9 Analyzing Digital Sources and Cables 9 Analyzing Digital Sources and Cables Topics in this chapter: Getting started Measuring timing of video signal Testing cables and distribution systems Testing video signal quality from a source Testing

More information

UNIT V 8051 Microcontroller based Systems Design

UNIT V 8051 Microcontroller based Systems Design UNIT V 8051 Microcontroller based Systems Design INTERFACING TO ALPHANUMERIC DISPLAYS Many microprocessor-controlled instruments and machines need to display letters of the alphabet and numbers. Light

More information

Section 14 Parallel Peripheral Interface (PPI)

Section 14 Parallel Peripheral Interface (PPI) Section 14 Parallel Peripheral Interface (PPI) 14-1 a ADSP-BF533 Block Diagram Core Timer 64 L1 Instruction Memory Performance Monitor JTAG/ Debug Core Processor LD 32 LD1 32 L1 Data Memory SD32 DMA Mastered

More information

System Memory Requirements for Digital TV and Set-Top Platforms

System Memory Requirements for Digital TV and Set-Top Platforms White Paper System Memory Requirements for Digital TV and Set-Top Platforms This white paper provides background information on the memory requirements for Broadcom s video digital TV and set-top box back-end

More information

Implementing a Rudimentary Oscilloscope

Implementing a Rudimentary Oscilloscope EE-3306 HC6811 Lab #4 Implementing a Rudimentary Oscilloscope Objectives The purpose of this lab is to become familiar with the 68HC11 on chip Analog-to-Digital converter. This lab builds on the knowledge

More information

A MISSILE INSTRUMENTATION ENCODER

A MISSILE INSTRUMENTATION ENCODER A MISSILE INSTRUMENTATION ENCODER Item Type text; Proceedings Authors CONN, RAYMOND; BREEDLOVE, PHILLIP Publisher International Foundation for Telemetering Journal International Telemetering Conference

More information

EECS150 - Digital Design Lecture 12 - Video Interfacing. Recap and Outline

EECS150 - Digital Design Lecture 12 - Video Interfacing. Recap and Outline EECS150 - Digital Design Lecture 12 - Video Interfacing Oct. 8, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy of Prof. John

More information

TV Synchronism Generation with PIC Microcontroller

TV Synchronism Generation with PIC Microcontroller TV Synchronism Generation with PIC Microcontroller With the widespread conversion of the TV transmission and coding standards, from the early analog (NTSC, PAL, SECAM) systems to the modern digital formats

More information

Project Design. Eric Chang Mike Ilardi Jess Kaneshiro Jonathan Steiner

Project Design. Eric Chang Mike Ilardi Jess Kaneshiro Jonathan Steiner Project Design Eric Chang Mike Ilardi Jess Kaneshiro Jonathan Steiner Introduction In developing the Passive Sonar, our group intendes to incorporate lessons from both Embedded Systems and E:4986, the

More information

HIGH SPEED ASYNCHRONOUS DATA MULTIPLEXER/ DEMULTIPLEXER FOR HIGH DENSITY DIGITAL RECORDERS

HIGH SPEED ASYNCHRONOUS DATA MULTIPLEXER/ DEMULTIPLEXER FOR HIGH DENSITY DIGITAL RECORDERS HIGH SPEED ASYNCHRONOUS DATA MULTIPLEXER/ DEMULTIPLEXER FOR HIGH DENSITY DIGITAL RECORDERS Mr. Albert Berdugo Mr. Martin Small Aydin Vector Division Calculex, Inc. 47 Friends Lane P.O. Box 339 Newtown,

More information

Agilent E4430B 1 GHz, E4431B 2 GHz, E4432B 3 GHz, E4433B 4 GHz Measuring Bit Error Rate Using the ESG-D Series RF Signal Generators, Option UN7

Agilent E4430B 1 GHz, E4431B 2 GHz, E4432B 3 GHz, E4433B 4 GHz Measuring Bit Error Rate Using the ESG-D Series RF Signal Generators, Option UN7 Agilent E4430B 1 GHz, E4431B 2 GHz, E4432B 3 GHz, E4433B 4 GHz Measuring Bit Error Rate Using the ESG-D Series RF Signal Generators, Option UN7 Product Note Introduction Bit-error-rate analysis As digital

More information

Sub-LVDS-to-Parallel Sensor Bridge

Sub-LVDS-to-Parallel Sensor Bridge January 2015 Introduction Reference Design RD1122 Sony introduced the IMX036 and IMX136 sensors to support resolutions up to 1080P60 and 1080p120 respectively. A traditional CMOS parallel interface could

More information

6.111 Final Project: 3D Multiplayer Pong. Louis Tao, Miguel Rodriguez, Paul Kalebu

6.111 Final Project: 3D Multiplayer Pong. Louis Tao, Miguel Rodriguez, Paul Kalebu 6.111 Final Project: 3D Multiplayer Pong Louis Tao, Miguel Rodriguez, Paul Kalebu Abstract Our 3-D multiplayer pong project is inspired by our 2-D pong class assignment. Our goal was to create a 3-D 2-player

More information

DIGISPOT II. User Manual LOGGER. Software

DIGISPOT II. User Manual LOGGER. Software DIGISPOT II LOGGER Software User Manual September 2002 Version 2.12.xx Copy - Right: R.Barth KG Hamburg I m p r e s s u m This product has been developed by joint efforts of both companies based on the

More information

Checkpoint 2 Video Encoder

Checkpoint 2 Video Encoder UNIVERSITY OF CALIFORNIA AT BERKELEY COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL ENGINEERING AND COMPUTER SCIENCE ASSIGNED: Week of 3/7 DUE: Week of 3/14, 10 minutes after start (xx:20) of your assigned

More information

EXOSTIV TM. Frédéric Leens, CEO

EXOSTIV TM. Frédéric Leens, CEO EXOSTIV TM Frédéric Leens, CEO A simple case: a video processing platform Headers & controls per frame : 1.024 bits 2.048 pixels 1.024 lines Pixels per frame: 2 21 Pixel encoding : 36 bit Frame rate: 24

More information

6.111 Final Project Proposal Kelly Snyder and Rebecca Greene. Abstract

6.111 Final Project Proposal Kelly Snyder and Rebecca Greene. Abstract 6.111 Final Project Proposal Kelly Snyder and Rebecca Greene Abstract The Cambot project proposes to build a robot using two distinct FPGAs that will interact with users wirelessly, using the labkit, a

More information

Synchronization Issues During Encoder / Decoder Tests

Synchronization Issues During Encoder / Decoder Tests OmniTek PQA Application Note: Synchronization Issues During Encoder / Decoder Tests Revision 1.0 www.omnitek.tv OmniTek Advanced Measurement Technology 1 INTRODUCTION The OmniTek PQA system is very well

More information

Agilent I 2 C Debugging

Agilent I 2 C Debugging 546D Agilent I C Debugging Application Note1351 With embedded systems shrinking, I C (Inter-integrated Circuit) protocol is being utilized as the communication channel of choice because it only needs two

More information

1ms Column Parallel Vision System and It's Application of High Speed Target Tracking

1ms Column Parallel Vision System and It's Application of High Speed Target Tracking Proceedings of the 2(X)0 IEEE International Conference on Robotics & Automation San Francisco, CA April 2000 1ms Column Parallel Vision System and It's Application of High Speed Target Tracking Y. Nakabo,

More information

Teletext Inserter Firmware. User s Manual. Contents

Teletext Inserter Firmware. User s Manual. Contents Teletext Inserter Firmware User s Manual Contents 0 Definition 3 1 Frontpanel 3 1.1 Status Screen.............. 3 1.2 Configuration Menu........... 4 2 Controlling the Teletext Inserter via RS232 4 2.1

More information

MUSIC COMPOSITION FOR DUMMIES

MUSIC COMPOSITION FOR DUMMIES MUSIC COMPOSITION FOR DUMMIES 6.111 FINAL PROJECT REPORT By Wu, Yun and Seow, Shi Ling 6.111 (Spring 2005) Introductory Digital Systems Laboratory TA: Kehoe, Charlie Date: May 12, 2005 Abstract For those

More information

BABAR IFR TDC Board (ITB): requirements and system description

BABAR IFR TDC Board (ITB): requirements and system description BABAR IFR TDC Board (ITB): requirements and system description Version 1.1 November 1997 G. Crosetti, S. Minutoli, E. Robutti I.N.F.N. Genova 1. Timing measurement with the IFR Accurate track reconstruction

More information

Cablecast SX. Setup Guide. c Tightrope Media Systems For Cablecast version Build 206

Cablecast SX. Setup Guide. c Tightrope Media Systems For Cablecast version Build 206 Cablecast SX Setup Guide c Tightrope Media Systems For Cablecast version 5.2.11 Build 206 Printed June 5, 2015 1 Cablecast SX Setup 1.1 Prerequisites 1.2 Overview of Setup Thank you for purchasing a Cablecast

More information

microenable 5 marathon ACL Product Profile of microenable 5 marathon ACL Datasheet microenable 5 marathon ACL

microenable 5 marathon ACL Product Profile of microenable 5 marathon ACL   Datasheet microenable 5 marathon ACL i Product Profile of Scalable, intelligent high performance frame grabber for highest requirements on image acquisition and preprocessing by robust industrial MV standards All formats of Camera Link standard

More information