Parallel Peripheral Interface (PPI)

Size: px
Start display at page:

Download "Parallel Peripheral Interface (PPI)"

Transcription

1 The World Leader in High Performance Signal Processing Solutions Parallel Peripheral Interface (PPI) Support

2 ADSP-BF533 Block Diagram Core Timer 64 L1 Instruction Memory Performance Monitor JTAG/ Debug Core Processor LD 32 LD1 32 L1 Data Memory SD32 DMA Mastered 32 bus Core D bus 32 Core DA bus Core D1 bus 32 Core DA1 bus 64 Core I bus System Bus Interface Unit Core Clock (CCLK) Domain System Clock (SCLK) Domain Watchdog And Timers Real Time Clock Event Controller Power Management 16 DMA Core Bus (DCB) DMA Controller 16 DMA Ext Bus EBIU 16 External Port Bus Data Address Control (DEB) (EPB) Peripheral Access Bus (PAB) 2 Programmable flags UART IRDA DMA Access Bus (DAB) SPORTs SPI PPI 1KB internal Boot ROM External Access Bus (EAB)

3 PPI - What is it? 3 Parallel Peripheral Interface Programmable bus width (from 8 16 bits in 1-bit steps) Bidirectional (half-duplex) parallel interface Synchronous Interface Interface is driven by an external clock ( PPI_CLK ) Up to 66MHz rate (SCLK/2) Asynchronous to SCLK Includes three frame syncs to control the interface timing Applications High speed data converters Video CODECs Used in conjunction with a DMA channel Can setup 2D DMA (e.g., for video) Can pack 8-bit bytes into 16-bit words for efficient I/O

4 PPI in general purpose mode (For video and other high speed devices) Mixed Signal Front End PAB DAB 4 FS3/FIELD/PF3 FS2/VSYNC/TIMER2 FS1/HSYNC/TIMER1 PPI3- PPI4-7/PF15-PF12 PPI8-15/PF11-PF4 PPI CLK AD9975 TX_EN RX_EN DATAx RX/TX CLK ADV7183 HREF VREF FIELD P[15:] LLC2 NTSC/PAL Standard Video Decoder

5 General Purpose Input/Output Modes Single Sync (FS1 only) Useful for Data Converter applications Infinite Capture input sub-mode requires either initial H/W sync to be sent, or Self Trigger through S/W write (no need for H/W FS) 3 Syncs (FS1, FS2, FS3) useful for video I/O with H/W signaling Frame Capture mode outputs syncs from processor while data is input into processor 2 Syncs can be used by ignoring 3rd sync where appropriate (pull FS3 to ground) Modes are set in PPI_CONTROL register 5

6 PPI General Purpose Input Mode PPI_CONTROL PPI_CLK PAB PPI_COUNT PPI_STATUS EF 38 EE Data Bus DMA Controller DAB DMA Request PPI_DELAY PPI_FRAME 16-bit wide FIFO PACK GATE SYNC FS1 FS2 FS3 16 deep - PACK: 8->16-Bit Packing Unit - GATE: Data Control Unit - SYNC: Data Sync Unit 6

7 Single Sync Input Mode PPI_CLK PPI_FS1 PPI_DATA N-1 N PPI_DELAY PPI_COUNT 7 PPI_CLK, PPI_FS1, PPI_DATA are inputs Programmable delay register (PPI_DELAY) inserts a time delay (in units of PPI_CLK cycles) to start transfer after FS1 has been asserted Count register (PPI_COUNT) holds the number of samples the PPI will receive PPI_COUNT ignored during Infinite Capture

8 Three Sync Input Mode PPI_CLK PPI_FS1 PPI_FS2 PPI_FS3 PPI_DATA N-1 N PPI_DELAY PPI_COUNT PPI_CLK, PPI_FS1/2/3, PPI_DATA are inputs Coincident assertion of FS1 and FS2 with FS3 low indicates the start of a frame FS3 used to indicate odd/even fields. In a 2-FSx configuration, this line is pulled low. PPI_FRAME register is set to the number of lines per frame (lines are delineated by FS1 assertions) 8

9 PPI General Purpose Input Mode Frame Capture PPI_CONTROL PPI_CLK PAB DMA Controller DAB DMA Halt PPI_COUNT PPI_STATUS PPI_DELAY PPI_FRAME 16-bit wide FIFO 16 deep UPACK GATE PPI_CLK Data Bus 37 ED 38 EF 8 1 C7 FF FS1 FS2 9 - UPACK: 16->8-Bit Unpacking Unit - GATE: Data Control Unit - TIMER1/2: Make use of Timers TIMER1 TIMER2

10 Frame Capture Input Mode PPI_CLK PPI_FS1 PPI_FS2 PPI_DATA N-1 N PPI_DELAY PPI_COUNT PPI_CLK, PPI_DATA are inputs PPI_FS1, PPI_FS2 are outputs TIMER1_WIDTH/TIMER1_PERIOD used to set up PPI_FS1 timing TIMER 2 set up to generate PPI_FS2 timing PPI_FRAME register is set to the number of lines per frame (lines are delineated by FS1 assertions) 1

11 PPI General Purpose Output Mode PPI_CONTROL PPI_CLK PAB DMA Controller DAB DMA Halt PPI_COUNT PPI_STATUS PPI_DELAY PPI_FRAME 16-bit wide FIFO 16 deep UPACK GATE PPI_CLK Data Bus 37 ED 38 EF 8 1 C7 FF FS1 FS2 FS3/PF UPACK: 16->8-Bit Unpacking Unit - GATE: Data Control Unit - TIMER1/2: Make use of Timers TIMER1 TIMER2

12 Single Sync Output Mode PPI_CLK PPI_FS1 PPI_DATA N-1 N PPI_DELAY PPI_COUNT PPI_CLK is input PPI_FS1 and PPI_DATA are outputs Timer 1 used to set up timing for FS1 There is a 1-cycle delay between FS1 assertion and start of PPI_DELAY Count register (PPI_COUNT) holds the number of samples the PPI will output, less one (i.e., set for N-1) 12

13 Three Sync Output Mode PPI_CLK PPI_FS1 PPI_FS2 PPI_FS3 PPI_DATA N-1 N PPI_DELAY PPI_COUNT PPI_CLK is input PPI_FS1, PPI_FS2, PPI_FS3 and PPI_DATA are outputs Timer 1 used to set up timing for FS1 Timer 2 used to set up timing for FS2 FS3 toggles coincident with an FS1 assertion, after an FS2 assertion 13

14 ITU-61 ITU-61 - specifies methods for digitally coding signals Video coding RGB is an intuitive format, but channels are highly correlated YCrCb (a scaled and offset version of YUV color space) is highly uncorrelated Provides better compression characteristics 8-bit or 1-bit quantization NTSC and PAL each have 72 pixels per line NTSC (3 frames/sec) has 525 lines (including blanking) PAL (25 frames/sec) has 625 lines (including blanking) PPI supports ITU-61 through use of 3-frame-sync modes 14

15 ITU-656 ITU-656 Defines the physical interfaces and data stream Bit-parallel and bit-serial modes Only bit-parallel supported with PPI 27 MHz nominal clock data lines (for bit-parallel mode) Embedded hardware signaling (H, V, F) no extra hardware lines required Supports interlaced and progressive formats Some OEMs support pseudo ITU

16 PPI ITU-656 Modes ITU-656 Input (3 Modes) Entire Field (H and V Blanking, Active Video and control codes) Vertical Blanking Interval only (with associated H blanking and control codes) Active video only. Can drop blanking for bandwidth savings ITU-656 Output User sets up blanking and encoding info in memory 16

17 ITU-656 Mode Preamble 8-bit DATA 1-bit DATA 8bit Data Bus F F EAV/SAV X Y Statu s Word D9 1 D8 F D7 V D6 H D5 P3 D4 P2 D3 P1 D2 P D1 D The preamble (XY) holds the sync indicators VSYNC, HSYNC, Field. It also includes protection bits P-P3. In output mode the user must construct all preamble control codes In general, the 8-bit bus is for consumer markets while 1-bit bus is for professional markets. 17

18 PPI ITU-656 Input Mode PAB DMA Controller PPI_CONTROL PPI_COUNT PPI_STATUS PPI_DELAY PPI_FRAME PCHK PPI_CLK Data Bus FF C7 1 8 EF 38 EE DAB DMA Request 16-bit wide FIFO 16 deep PACK GATE - PCHK: Preamble Check Unit - PACK: 8->16-Bit Packing Unit - GATE: Data Control Unit 8bit Data Bus F F Blanking Active Video EAV SAV EAV X Y F F X Y C B Y C R Y C B Y C B Y F F 18

19 PPI ITU-656 Output Mode PPI_CONTROL PPI_CLK PAB DMA Controller PPI_COUNT PPI_STATUS PPI_DELAY PPI_FRAME Data Bus 37 ED 38 EF 8 1 C7 FF DAB DMA Halt 16-bit wide FIFO UPACK GATE 16 deep - UPACK: 16->8-Bit Unpacking Unit - GATE: Data Control Unit 19

20 PPI Control Register (PPI_Control) FLD_SEL (Active Field Select) In ITU-656 input mode: = Field 1 1 = Fields 1 and 2 In GP input mode: = External frame sync trigger 1 = PPI self-trigger SKIP_EN (Skip Enable) = Disable 1 = Enable PACK_EN (Packing Mode) = Disable 1 = Enable Reserved PORT_CFG[1:] (Port Config.) In input mode: = 1 frame sync input 1 = frame capture, FS1, FS2 output 1 = 3 frame syncs 11 = infinite mode, 1 frame sync not repeated In output mode: = 1 sync 1 = 3 syncs PORT_EN (Enable) = PPI disable 1 = PPI enable Addr: XFFC 1 POL[1:] (Polarity) = Nothing inverted 1 = PPI_CLK inv., PPI_FS1 and PPI_FS2 not inv. 1 = PPI_FS1 and PPI_FS2 inv., PPI_CLK not inverted 11 = PPI_FS1, PPI_FS2, and PPI_CLK inv SKIP_EO (Skip Even Odd) = Skip odd number of elem. 1 = Skip even number of elem. DLEN[2:] (Data Length) = 8-bit 1 = 1-bit.. 11 = 15-bit 111 = 16-bit PORT_DIR (Direction) = PPI receive mode 1 = PPI transmit mode XFR_TYPE[1:] (Transfer Type) In input mode: = Active field only 1 = Entire field 1 = Vertical Blanking only 11 = GP Input mode In output mode:, 1, 1 = ITU-656 Output Mode 11 = GP Output Mode

21 Transfer Count Register (PPI_COUNT) Addr: XFFC PPI_COUNT[15:] In GP input mode: One less than the number of samples to read in to the PPI per line In GP output mode: One less than the number of samples to write out through the PPI per line Delay Count Register (PPI_DELAY) Addr: XFFC 1C PPI_DELAY[15:] Number of PPI clock cycles to delay after assertation of PPI_FS1 before latching in data 21

22 PPI Status Register (PPI_STATUS) ERR_NCOR (Error not corrected) ITU-656 Mode: = Preamble error detected and corrected 1 = Preamble error detected but not corrected Read to clear OVR (PPI FIFO Overflow) = No interrupt 1 = FIFO Overflow Error interrupt occurred FT_ERR (Frame Track Error) = No interrupt 1 = Frame Track error interrupt occurred Reserved Addr: XFFC ERR_DET (Error Detected) ITU-656 Mode: = No preamble error detected 1 = Preamble error detected FLD (Field Indicator) = Field 1 1 = Field 2 UNDR (PPI FIFO Underrun) = No interrupt 1 = FIFO Underrun error interrupt occurred 22

23 Lines Per Frame Register (PPI_FRAME) Addr: XFFC 1 PPI_FRAME[15:] Holds the number of lines expected per frame of data 23

24 Hands-on 9_1ADSP-BF533 PPI Video input 9_2ADSP-BF561 Video talkthrough 24

25 25 Q&A

Section 14 Parallel Peripheral Interface (PPI)

Section 14 Parallel Peripheral Interface (PPI) Section 14 Parallel Peripheral Interface (PPI) 14-1 a ADSP-BF533 Block Diagram Core Timer 64 L1 Instruction Memory Performance Monitor JTAG/ Debug Core Processor LD 32 LD1 32 L1 Data Memory SD32 DMA Mastered

More information

The World Leader in High Performance Signal Processing Solutions. Section 15. Parallel Peripheral Interface (PPI)

The World Leader in High Performance Signal Processing Solutions. Section 15. Parallel Peripheral Interface (PPI) The World Leader in High Performance Signal Processing Solutions Section 5 Parallel Peripheral Interface (PPI) L Core Timer 64 Performance Core Monitor Processor ADSP-BF533 Block Diagram Instruction Memory

More information

MACROVISION RGB / YUV TEMP. RANGE PART NUMBER

MACROVISION RGB / YUV TEMP. RANGE PART NUMBER NTSC/PAL Video Encoder NOT RECOMMENDED FOR NEW DESIGNS NO RECOMMENDED REPLACEMENT contact our Technical Support Center at 1-888-INTERSIL or www.intersil.com/tsc September 2003 DATASHEET FN4284 Rev 6.00

More information

Graduate Institute of Electronics Engineering, NTU Digital Video Recorder

Graduate Institute of Electronics Engineering, NTU Digital Video Recorder Digital Video Recorder Advisor: Prof. Andy Wu 2004/12/16 Thursday ACCESS IC LAB Specification System Architecture Outline P2 Function: Specification Record NTSC composite video Video compression/processing

More information

DATASHEET HMP8154, HMP8156A. Features. Ordering Information. Applications. NTSC/PAL Encoders. FN4343 Rev.5.00 Page 1 of 34.

DATASHEET HMP8154, HMP8156A. Features. Ordering Information. Applications. NTSC/PAL Encoders. FN4343 Rev.5.00 Page 1 of 34. NTSC/PAL Encoders NOT RECOMMENDED FOR NEW DESIGNS NO RECOMMENDED REPLACEMENT contact our Technical Support Center at 1-888-INTERSIL or www.intersil.com/tsc DATASHEET FN4343 Rev.5.00 The HMP8154 and HMP8156A

More information

Pivoting Object Tracking System

Pivoting Object Tracking System Pivoting Object Tracking System [CSEE 4840 Project Design - March 2009] Damian Ancukiewicz Applied Physics and Applied Mathematics Department da2260@columbia.edu Jinglin Shen Electrical Engineering Department

More information

Laboratory Exercise 4

Laboratory Exercise 4 Laboratory Exercise 4 Polling and Interrupts The purpose of this exercise is to learn how to send and receive data to/from I/O devices. There are two methods used to indicate whether or not data can be

More information

TMS320DM646x DMSoC Video Port Interface (VPIF) User's Guide

TMS320DM646x DMSoC Video Port Interface (VPIF) User's Guide TMS320DM646x DMSoC Video Port Interface (VPIF) User's Guide Literature Number: SPRUER9D November 2009 2 Preface... 10 1 Introduction... 12 1.1 Overview... 12 1.2 Features... 13 1.3 Features Not Supported...

More information

EECS150 - Digital Design Lecture 12 Project Description, Part 2

EECS150 - Digital Design Lecture 12 Project Description, Part 2 EECS150 - Digital Design Lecture 12 Project Description, Part 2 February 27, 2003 John Wawrzynek/Sandro Pintz Spring 2003 EECS150 lec12-proj2 Page 1 Linux Command Server network VidFX Video Effects Processor

More information

MIPI D-PHY Bandwidth Matrix Table User Guide. UG110 Version 1.0, June 2015

MIPI D-PHY Bandwidth Matrix Table User Guide. UG110 Version 1.0, June 2015 UG110 Version 1.0, June 2015 Introduction MIPI D-PHY Bandwidth Matrix Table User Guide As we move from the world of standard-definition to the high-definition and ultra-high-definition, the common parallel

More information

Logic Analysis Basics

Logic Analysis Basics Logic Analysis Basics September 27, 2006 presented by: Alex Dickson Copyright 2003 Agilent Technologies, Inc. Introduction If you have ever asked yourself these questions: What is a logic analyzer? What

More information

Logic Analysis Basics

Logic Analysis Basics Logic Analysis Basics September 27, 2006 presented by: Alex Dickson Copyright 2003 Agilent Technologies, Inc. Introduction If you have ever asked yourself these questions: What is a logic analyzer? What

More information

The Project & Digital Video. Today. The Project (1) EECS150 Fall Lab Lecture #7. Arjun Singh

The Project & Digital Video. Today. The Project (1) EECS150 Fall Lab Lecture #7. Arjun Singh The Project & Digital Video EECS150 Fall2008 - Lab Lecture #7 Arjun Singh Adopted from slides designed by Greg Gibeling and Chris Fletcher 10/10/2008 EECS150 Lab Lecture #7 1 Today Project Introduction

More information

AN-ENG-001. Using the AVR32 SoC for real-time video applications. Written by Matteo Vit, Approved by Andrea Marson, VERSION: 1.0.0

AN-ENG-001. Using the AVR32 SoC for real-time video applications. Written by Matteo Vit, Approved by Andrea Marson, VERSION: 1.0.0 Written by Matteo Vit, R&D Engineer Dave S.r.l. Approved by Andrea Marson, CTO Dave S.r.l. DAVE S.r.l. www.dave.eu VERSION: 1.0.0 DOCUMENT CODE: AN-ENG-001 NO. OF PAGES: 8 AN-ENG-001 Using the AVR32 SoC

More information

Hello, and welcome to this presentation of the STM32 Serial Audio Interface. I will present the features of this interface, which is used to connect

Hello, and welcome to this presentation of the STM32 Serial Audio Interface. I will present the features of this interface, which is used to connect Hello, and welcome to this presentation of the STM32 Serial Audio Interface. I will present the features of this interface, which is used to connect external audio devices 1 The Serial Audio Interface

More information

Checkpoint 2 Video Encoder

Checkpoint 2 Video Encoder UNIVERSITY OF CALIFORNIA AT BERKELEY COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL ENGINEERING AND COMPUTER SCIENCE ASSIGNED: Week of 3/7 DUE: Week of 3/14, 10 minutes after start (xx:20) of your assigned

More information

Block Diagram. dw*3 pixin (RGB) pixin_vsync pixin_hsync pixin_val pixin_rdy. clk_a. clk_b. h_s, h_bp, h_fp, h_disp, h_line

Block Diagram. dw*3 pixin (RGB) pixin_vsync pixin_hsync pixin_val pixin_rdy. clk_a. clk_b. h_s, h_bp, h_fp, h_disp, h_line Key Design Features Block Diagram Synthesizable, technology independent IP Core for FPGA, ASIC and SoC reset underflow Supplied as human readable VHDL (or Verilog) source code Simple FIFO input interface

More information

CHAPTER1: Digital Logic Circuits

CHAPTER1: Digital Logic Circuits CS224: Computer Organization S.KHABET CHAPTER1: Digital Logic Circuits 1 Sequential Circuits Introduction Composed of a combinational circuit to which the memory elements are connected to form a feedback

More information

Digital PC to TV Encoder with Macrovision TM 2. GENERAL DESCRIPTION LINE MEMORY SYSTEM CLOCK PLL. Figure 1: Functional Block Diagram

Digital PC to TV Encoder with Macrovision TM 2. GENERAL DESCRIPTION LINE MEMORY SYSTEM CLOCK PLL. Figure 1: Functional Block Diagram Chrontel CHRONTEL Digital PC to TV Encoder with Macrovision TM 1. FEATURES Supports Macrovision TM 7.X anti-copy protection Pin and function compatible with CH7003 / CH7013A Has CH7013A as its non-macrovision

More information

Video 1 Video October 16, 2001

Video 1 Video October 16, 2001 Video Video October 6, Video Event-based programs read() is blocking server only works with single socket audio, network input need I/O multiplexing event-based programming also need to handle time-outs,

More information

IMS B007 A transputer based graphics board

IMS B007 A transputer based graphics board IMS B007 A transputer based graphics board INMOS Technical Note 12 Ray McConnell April 1987 72-TCH-012-01 You may not: 1. Modify the Materials or use them for any commercial purpose, or any public display,

More information

Lancelot. VGA video controller for the Altera Nios II processor. V4.0. December 16th, 2005

Lancelot. VGA video controller for the Altera Nios II processor. V4.0. December 16th, 2005 Lancelot VGA video controller for the Altera Nios II processor. V4.0 December 16th, 2005 http://www.microtronix.com 1. Description Lancelot is a VGA video controller for the Altera Nios (II) processor.

More information

Chrontel CH7015 SDTV / HDTV Encoder

Chrontel CH7015 SDTV / HDTV Encoder Chrontel Preliminary Brief Datasheet Chrontel SDTV / HDTV Encoder Features 1.0 GENERAL DESCRIPTION VGA to SDTV conversion supporting graphics resolutions up to 104x768 Analog YPrPb or YCrCb outputs for

More information

LSN 12 Shift Registers

LSN 12 Shift Registers LSN 12 Shift Registers Department of Engineering Technology LSN 12 Shift Registers Digital circuits with data storage and data movement functions Storage capacity is the total number of bits of digital

More information

Fig. 21-1CIF block diagram. Translate the input video data into the requisite data format

Fig. 21-1CIF block diagram. Translate the input video data into the requisite data format Chapter 21 Camera Interface (CIF) 21.1 Overview The Camera interface, receives the data from Camera or CCIR656 encoder, and transfers the data into system main memory by AXI bus. The features of camera

More information

D5CE Serial Digital Encoder

D5CE Serial Digital Encoder D5CE Serial Digital Encoder User Manual December 5, 2003 P/N 0635-00 Test Equipment Depot - 800.57.843-99 Washington Street Melrose, MA 0276 - FAX 78.665.0780 - TestEquipmentDepot.com AJA D5CE Serial Digital

More information

Camera Interface Guide

Camera Interface Guide Camera Interface Guide Table of Contents Video Basics... 5-12 Introduction...3 Video formats...3 Standard analog format...3 Blanking intervals...4 Vertical blanking...4 Horizontal blanking...4 Sync Pulses...4

More information

LogiCORE IP Video Timing Controller v3.0

LogiCORE IP Video Timing Controller v3.0 LogiCORE IP Video Timing Controller v3.0 Product Guide Table of Contents Chapter 1: Overview Standards Compliance....................................................... 6 Feature Summary............................................................

More information

LogiCORE IP Video Timing Controller v3.0

LogiCORE IP Video Timing Controller v3.0 LogiCORE IP Video Timing Controller v3.0 DS857 June 22, 2011 Introduction The Xilinx Video Timing Controller LogiCORE IP is a general purpose video timing generator and detector. The input side of this

More information

NOW Handout Page 1. Traversing Digital Design. EECS Components and Design Techniques for Digital Systems. Lec 13 Project Overview.

NOW Handout Page 1. Traversing Digital Design. EECS Components and Design Techniques for Digital Systems. Lec 13 Project Overview. Traversing Digital Design EECS 150 - Components and Design Techniques for Digital Systems You Are Here EECS150 wks 6-15 Lec 13 Project Overview David Culler Electrical Engineering and Computer Sciences

More information

Logic Devices for Interfacing, The 8085 MPU Lecture 4

Logic Devices for Interfacing, The 8085 MPU Lecture 4 Logic Devices for Interfacing, The 8085 MPU Lecture 4 1 Logic Devices for Interfacing Tri-State devices Buffer Bidirectional Buffer Decoder Encoder D Flip Flop :Latch and Clocked 2 Tri-state Logic Outputs

More information

Digital Blocks Semiconductor IP

Digital Blocks Semiconductor IP Digital Blocks Semiconductor IP DB3 CCIR 656 Encoder General Description The Digital Blocks DB3 CCIR 656 Encoder IP Core encodes 4:2:2 Y CbCr component digital video with synchronization signals to conform

More information

TMS320VC5501/5502/5503/5507/5509/5510 DSP Multichannel Buffered Serial Port (McBSP) Reference Guide

TMS320VC5501/5502/5503/5507/5509/5510 DSP Multichannel Buffered Serial Port (McBSP) Reference Guide TMS320VC5501/5502/5503/5507/5509/5510 DSP Multichannel Buffered Serial Port (McBSP) Reference Guide Literature Number: April 2005 Preface Read This First About This Manual This manual describes the type

More information

Motion Video Compression

Motion Video Compression 7 Motion Video Compression 7.1 Motion video Motion video contains massive amounts of redundant information. This is because each image has redundant information and also because there are very few changes

More information

TV Synchronism Generation with PIC Microcontroller

TV Synchronism Generation with PIC Microcontroller TV Synchronism Generation with PIC Microcontroller With the widespread conversion of the TV transmission and coding standards, from the early analog (NTSC, PAL, SECAM) systems to the modern digital formats

More information

IT T35 Digital system desigm y - ii /s - iii

IT T35 Digital system desigm y - ii /s - iii UNIT - III Sequential Logic I Sequential circuits: latches flip flops analysis of clocked sequential circuits state reduction and assignments Registers and Counters: Registers shift registers ripple counters

More information

Design and Implementation of an AHB VGA Peripheral

Design and Implementation of an AHB VGA Peripheral Design and Implementation of an AHB VGA Peripheral 1 Module Overview Learn about VGA interface; Design and implement an AHB VGA peripheral; Program the peripheral using assembly; Lab Demonstration. System

More information

CH7053A HDTV/VGA/ DVI Transmitter

CH7053A HDTV/VGA/ DVI Transmitter Chrontel Brief Datasheet HDTV/VGA/ DVI Transmitter FEATURES DVI Transmitter support up to 1080p DVI hot plug detection Supports Component YPrPb (HDTV) up to 1080p and analog RGB (VGA) monitor up to 1920x1080

More information

ECE532 Digital System Design Title: Stereoscopic Depth Detection Using Two Cameras. Final Design Report

ECE532 Digital System Design Title: Stereoscopic Depth Detection Using Two Cameras. Final Design Report ECE532 Digital System Design Title: Stereoscopic Depth Detection Using Two Cameras Group #4 Prof: Chow, Paul Student 1: Robert An Student 2: Kai Chun Chou Student 3: Mark Sikora April 10 th, 2015 Final

More information

NH 67, Karur Trichy Highways, Puliyur C.F, Karur District UNIT-III SEQUENTIAL CIRCUITS

NH 67, Karur Trichy Highways, Puliyur C.F, Karur District UNIT-III SEQUENTIAL CIRCUITS NH 67, Karur Trichy Highways, Puliyur C.F, 639 114 Karur District DEPARTMENT OF ELETRONICS AND COMMUNICATION ENGINEERING COURSE NOTES SUBJECT: DIGITAL ELECTRONICS CLASS: II YEAR ECE SUBJECT CODE: EC2203

More information

Universal Asynchronous Receiver- Transmitter (UART)

Universal Asynchronous Receiver- Transmitter (UART) Universal Asynchronous Receiver- Transmitter (UART) (UART) Block Diagram Four-Bit Bidirectional Shift Register Shift Register Counters Shift registers can form useful counters by recirculating a pattern

More information

PCM ENCODING PREPARATION... 2 PCM the PCM ENCODER module... 4

PCM ENCODING PREPARATION... 2 PCM the PCM ENCODER module... 4 PCM ENCODING PREPARATION... 2 PCM... 2 PCM encoding... 2 the PCM ENCODER module... 4 front panel features... 4 the TIMS PCM time frame... 5 pre-calculations... 5 EXPERIMENT... 5 patching up... 6 quantizing

More information

BUSES IN COMPUTER ARCHITECTURE

BUSES IN COMPUTER ARCHITECTURE BUSES IN COMPUTER ARCHITECTURE The processor, main memory, and I/O devices can be interconnected by means of a common bus whose primary function is to provide a communication path for the transfer of data.

More information

AVRcam Code Commentary. Version 1.3

AVRcam Code Commentary. Version 1.3 AVRcam Code Commentary Version 1.3 Copyright 2007 Revision History Date Version Author Description 2/15/2007 1.0 John Orlando Initial release 2/22/2007 1.1 John Orlando Added sections for User Interface

More information

SPI Serial Communication and Nokia 5110 LCD Screen

SPI Serial Communication and Nokia 5110 LCD Screen 8 SPI Serial Communication and Nokia 5110 LCD Screen 8.1 Objectives: Many devices use Serial Communication to communicate with each other. The advantage of serial communication is that it uses relatively

More information

DT3130 Series for Machine Vision

DT3130 Series for Machine Vision Compatible Windows Software DT Vision Foundry GLOBAL LAB /2 DT3130 Series for Machine Vision Simultaneous Frame Grabber Boards for the Key Features Contains the functionality of up to three frame grabbers

More information

Block Diagram. 16/24/32 etc. pixin pixin_sof pixin_val. Supports 300 MHz+ operation on basic FPGA devices 2 Memory Read/Write Arbiter SYSTEM SIGNALS

Block Diagram. 16/24/32 etc. pixin pixin_sof pixin_val. Supports 300 MHz+ operation on basic FPGA devices 2 Memory Read/Write Arbiter SYSTEM SIGNALS Key Design Features Block Diagram Synthesizable, technology independent IP Core for FPGA, ASIC or SoC Supplied as human readable VHDL (or Verilog) source code Output supports full flow control permitting

More information

A * Rockwell. R6500 Microcomputer System DATA SHEET CRT CONTROLLER (CRTC) r- r- 31 O PART NUMBER R FEATURES DESCRIPTION O 30-4 O O

A * Rockwell. R6500 Microcomputer System DATA SHEET CRT CONTROLLER (CRTC) r- r- 31 O PART NUMBER R FEATURES DESCRIPTION O 30-4 O O PART NUMBER R6545-1 A * Rockwell R6500 Microcomputer System DATA SHEET CRT CONTROLLER (CRTC) DESCRIPTION The R6545-1 CRT Controller (CRTC) is designed to interface an 8-bit microprocessor to CRT raster

More information

Digital PC to TV Encoder 2. GENERAL DESCRIPTION LINE MEMORY TRUE SCALE SCALING & DEFLICKERING ENGINE SYSTEM CLOCK PLL

Digital PC to TV Encoder 2. GENERAL DESCRIPTION LINE MEMORY TRUE SCALE SCALING & DEFLICKERING ENGINE SYSTEM CLOCK PLL Chrontel CHRONTEL Digital PC to TV Encoder 1. FEATURES Universal digital interface accepts YCrCb (CCIR601 or 656) or RGB (15, 16 or 24-bit) video data in both non-interlaced and interlaced formats True

More information

CS 110 Computer Architecture. Finite State Machines, Functional Units. Instructor: Sören Schwertfeger.

CS 110 Computer Architecture. Finite State Machines, Functional Units. Instructor: Sören Schwertfeger. CS 110 Computer Architecture Finite State Machines, Functional Units Instructor: Sören Schwertfeger http://shtech.org/courses/ca/ School of Information Science and Technology SIST ShanghaiTech University

More information

ZR PCI Bus. Figure 1. JPEG-Based Video Editing Subsystem For PCI Systems

ZR PCI Bus. Figure 1. JPEG-Based Video Editing Subsystem For PCI Systems ZR36060 INTEGRATED JEG CODEC FEATURES Single-chip JEG processor that integrates all the modules needed for JEG encoding and decoding: - Raster-to-block and block-to-raster converter - Strip buffer - JEG

More information

Counters

Counters Counters A counter is the most versatile and useful subsystems in the digital system. A counter driven by a clock can be used to count the number of clock cycles. Since clock pulses occur at known intervals,

More information

White Paper Lower Costs in Broadcasting Applications With Integration Using FPGAs

White Paper Lower Costs in Broadcasting Applications With Integration Using FPGAs Introduction White Paper Lower Costs in Broadcasting Applications With Integration Using FPGAs In broadcasting production and delivery systems, digital video data is transported using one of two serial

More information

Checkpoint 2 Video Encoder and Basic User Interface

Checkpoint 2 Video Encoder and Basic User Interface UNIVERSITY OF CALIFORNIA AT BERKELEY COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL ENGINEERING AND COMPUTER SCIENCE Checkpoint 2 Video Encoder and Basic User Interface 1.0 Motivation The goal of this

More information

Contents Circuits... 1

Contents Circuits... 1 Contents Circuits... 1 Categories of Circuits... 1 Description of the operations of circuits... 2 Classification of Combinational Logic... 2 1. Adder... 3 2. Decoder:... 3 Memory Address Decoder... 5 Encoder...

More information

DT3162. Ideal Applications Machine Vision Medical Imaging/Diagnostics Scientific Imaging

DT3162. Ideal Applications Machine Vision Medical Imaging/Diagnostics Scientific Imaging Compatible Windows Software GLOBAL LAB Image/2 DT Vision Foundry DT3162 Variable-Scan Monochrome Frame Grabber for the PCI Bus Key Features High-speed acquisition up to 40 MHz pixel acquire rate allows

More information

Counter dan Register

Counter dan Register Counter dan Register Introduction Circuits for counting events are frequently used in computers and other digital systems. Since a counter circuit must remember its past states, it has to possess memory.

More information

TAXI -compatible HOTLink Transceiver

TAXI -compatible HOTLink Transceiver TAXI -compatible HOTLink Transceiver TAXI -compatible HOTLink Transceiver Features Second-generation HOTLink technology AMD AM7968/7969 TAXIchip -compatible 8-bit 4B/5B or 10-bit 5B/6B NRZI encoded data

More information

Model 5240 Digital to Analog Key Converter Data Pack

Model 5240 Digital to Analog Key Converter Data Pack Model 5240 Digital to Analog Key Converter Data Pack E NSEMBLE D E S I G N S Revision 2.1 SW v2.0 This data pack provides detailed installation, configuration and operation information for the 5240 Digital

More information

ECE 263 Digital Systems, Fall 2015

ECE 263 Digital Systems, Fall 2015 ECE 263 Digital Systems, Fall 2015 REVIEW: FINALS MEMORY ROM, PROM, EPROM, EEPROM, FLASH RAM, DRAM, SRAM Design of a memory cell 1. Draw circuits and write 2 differences and 2 similarities between DRAM

More information

Model 7500 HD Video Processing Frame Synchronizer Data Pack

Model 7500 HD Video Processing Frame Synchronizer Data Pack Model 7500 HD Video Processing Frame Synchronizer Data Pack E NSEMBLE D E S I G N S Revision 2.1 SW v2.2.0 This data pack provides detailed installation, configuration and operation information for the

More information

LAX_x Logic Analyzer

LAX_x Logic Analyzer Legacy documentation LAX_x Logic Analyzer Summary This core reference describes how to place and use a Logic Analyzer instrument in an FPGA design. Core Reference CR0103 (v2.0) March 17, 2008 The LAX_x

More information

TAXI -compatible HOTLink Transceiver

TAXI -compatible HOTLink Transceiver TAXI -compatible HOTLink Transceiver Features Second-generation HOTLink technology AMD AM7968/7969 TAXIchip -compatible 8-bit 4B/5B or 10-bit 5B/6B NRZI encoded data transport 10-bit or 12-bit NRZI pre-encoded

More information

CprE 281: Digital Logic

CprE 281: Digital Logic CprE 28: Digital Logic Instructor: Alexander Stoytchev http://www.ece.iastate.edu/~alexs/classes/ Registers and Counters CprE 28: Digital Logic Iowa State University, Ames, IA Copyright Alexander Stoytchev

More information

Low-speed serial buses are used in wide variety of electronics products. Various low-speed buses exist in different

Low-speed serial buses are used in wide variety of electronics products. Various low-speed buses exist in different Low speed serial buses are widely used today in mixed-signal embedded designs for chip-to-chip communication. Their ease of implementation, low cost, and ties with legacy design blocks make them ideal

More information

ADV7177/ADV7178. Integrated Digital CCIR-601 to PAL/NTSC Video Encoder

ADV7177/ADV7178. Integrated Digital CCIR-601 to PAL/NTSC Video Encoder Integrated Digital CCIR-6 to PAL/NTSC Video Encoder ADV777/ADV778 FEATURES ITU-R BT6/656 YCrCb to PAL/NTSC video encoder High quality, 9-bit video DACs Integral nonlinearity < LSB at 9 bits NTSC-M, PAL-M/N,

More information

Design and Implementation of Timer, GPIO, and 7-segment Peripherals

Design and Implementation of Timer, GPIO, and 7-segment Peripherals Design and Implementation of Timer, GPIO, and 7-segment Peripherals 1 Module Overview Learn about timers, GPIO and 7-segment display; Design and implement an AHB timer, a GPIO peripheral, and a 7-segment

More information

SMPTE-259M/DVB-ASI Scrambler/Controller

SMPTE-259M/DVB-ASI Scrambler/Controller SMPTE-259M/DVB-ASI Scrambler/Controller Features Fully compatible with SMPTE-259M Fully compatible with DVB-ASI Operates from a single +5V supply 44-pin PLCC package Encodes both 8- and 10-bit parallel

More information

DEDICATED TO EMBEDDED SOLUTIONS

DEDICATED TO EMBEDDED SOLUTIONS DEDICATED TO EMBEDDED SOLUTIONS DESIGN SAFE FPGA INTERNAL CLOCK DOMAIN CROSSINGS ESPEN TALLAKSEN DATA RESPONS SCOPE Clock domain crossings (CDC) is probably the worst source for serious FPGA-bugs that

More information

HIGH PERFORMANCE MEMORY DESIGN TECHNIQUE FOR THE MC68000

HIGH PERFORMANCE MEMORY DESIGN TECHNIQUE FOR THE MC68000 MOTOROLA Semiconductor Products nc. AN-838 Application Note HGH PERFORMANCE MEMORY DESGN TECHNQUE FOR THE MC68000 This application note presents a technique for interfacing a 256K byte semi-transparent

More information

SEQUENTIAL LOGIC. Satish Chandra Assistant Professor Department of Physics P P N College, Kanpur

SEQUENTIAL LOGIC. Satish Chandra Assistant Professor Department of Physics P P N College, Kanpur SEQUENTIAL LOGIC Satish Chandra Assistant Professor Department of Physics P P N College, Kanpur www.satish0402.weebly.com OSCILLATORS Oscillators is an amplifier which derives its input from output. Oscillators

More information

Logic Design II (17.342) Spring Lecture Outline

Logic Design II (17.342) Spring Lecture Outline Logic Design II (17.342) Spring 2012 Lecture Outline Class # 03 February 09, 2012 Dohn Bowden 1 Today s Lecture Registers and Counters Chapter 12 2 Course Admin 3 Administrative Admin for tonight Syllabus

More information

Rec. ITU-R BT RECOMMENDATION ITU-R BT * WIDE-SCREEN SIGNALLING FOR BROADCASTING

Rec. ITU-R BT RECOMMENDATION ITU-R BT * WIDE-SCREEN SIGNALLING FOR BROADCASTING Rec. ITU-R BT.111-2 1 RECOMMENDATION ITU-R BT.111-2 * WIDE-SCREEN SIGNALLING FOR BROADCASTING (Signalling for wide-screen and other enhanced television parameters) (Question ITU-R 42/11) Rec. ITU-R BT.111-2

More information

Block Diagram. deint_mode. line_width. log2_line_width. field_polarity. mem_start_addr0. mem_start_addr1. mem_burst_size.

Block Diagram. deint_mode. line_width. log2_line_width. field_polarity. mem_start_addr0. mem_start_addr1. mem_burst_size. Key Design Features Block Diagram Synthesizable, technology independent IP Core for FPGA, ASIC and SoC Supplied as human readable VHDL (or Verilog) source code pixin_ pixin_val pixin_vsync pixin_ pixin

More information

problem maximum score 1 28pts 2 10pts 3 10pts 4 15pts 5 14pts 6 12pts 7 11pts total 100pts

problem maximum score 1 28pts 2 10pts 3 10pts 4 15pts 5 14pts 6 12pts 7 11pts total 100pts University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Sciences EECS150 J. Wawrzynek Spring 2002 4/5/02 Midterm Exam II Name: Solutions ID number:

More information

A Guide to Standard and High-Definition Digital Video Measurements

A Guide to Standard and High-Definition Digital Video Measurements A Guide to Standard and High-Definition Digital Video Measurements D i g i t a l V i d e o M e a s u r e m e n t s A Guide to Standard and High-Definition Digital Video Measurements Contents In The Beginning

More information

CS8803: Advanced Digital Design for Embedded Hardware

CS8803: Advanced Digital Design for Embedded Hardware CS883: Advanced Digital Design for Embedded Hardware Lecture 4: Latches, Flip-Flops, and Sequential Circuits Instructor: Sung Kyu Lim (limsk@ece.gatech.edu) Website: http://users.ece.gatech.edu/limsk/course/cs883

More information

RESEARCH AND DEVELOPMENT LOW-COST BOARD FOR EXPERIMENTAL VERIFICATION OF VIDEO PROCESSING ALGORITHMS USING FPGA IMPLEMENTATION

RESEARCH AND DEVELOPMENT LOW-COST BOARD FOR EXPERIMENTAL VERIFICATION OF VIDEO PROCESSING ALGORITHMS USING FPGA IMPLEMENTATION RESEARCH AND DEVELOPMENT LOW-COST BOARD FOR EXPERIMENTAL VERIFICATION OF VIDEO PROCESSING ALGORITHMS USING FPGA IMPLEMENTATION Filipe DIAS, Igor OLIVEIRA, Flávia FREITAS, Francisco GARCIA and Paulo CUNHA

More information

New GRABLINK Frame Grabbers

New GRABLINK Frame Grabbers New GRABLINK Frame Grabbers Full-Featured Base, High-quality Medium and video Full capture Camera boards Link Frame Grabbers GRABLINK Full Preliminary GRABLINK DualBase Preliminary GRABLINK Base GRABLINK

More information

ADC Peripheral in Microcontrollers. Petr Cesak, Jan Fischer, Jaroslav Roztocil

ADC Peripheral in Microcontrollers. Petr Cesak, Jan Fischer, Jaroslav Roztocil ADC Peripheral in s Petr Cesak, Jan Fischer, Jaroslav Roztocil Czech Technical University in Prague, Faculty of Electrical Engineering Technicka 2, CZ-16627 Prague 6, Czech Republic Phone: +420-224 352

More information

ILI9322. a-si TFT LCD Single Chip Driver 320RGBx240 Resolution and 16.7M color. Datasheet Preliminary

ILI9322. a-si TFT LCD Single Chip Driver 320RGBx240 Resolution and 16.7M color. Datasheet Preliminary Datasheet Preliminary Version: Preliminary V1.16 Document No.: DS_V1.15.pdf ILI TECHNOLOGY CORP. 4F, No. 2, Tech. 5 th Rd., Hsinchu Science Park, Taiwan 300, R.O.C. Tel.886-3-5670095; Fax.886-3-5670096

More information

CprE 281: Digital Logic

CprE 281: Digital Logic CprE 28: Digital Logic Instructor: Alexander Stoytchev http://www.ece.iastate.edu/~alexs/classes/ Registers and Counters CprE 28: Digital Logic Iowa State University, Ames, IA Copyright Alexander Stoytchev

More information

HOLITA HDLC Core: Datasheet

HOLITA HDLC Core: Datasheet HOLITA HDLC Core: Datasheet Version 1.0, July 2012 8-bit Parallel to Serial Shift 8-bit Serial to Parallel Shift HDLC Core FSC16/32 Generation Zero Insert Transmit Control FSC16/32 Check Zero Deletion

More information

PRELIMINARY DATA SHEET. VPX 3220 A, VPX 3216 B, VPX 3214 C Video Pixel Decoders MICRONAS INTERMETALL MICRONAS. Edition July 1, PD

PRELIMINARY DATA SHEET. VPX 3220 A, VPX 3216 B, VPX 3214 C Video Pixel Decoders MICRONAS INTERMETALL MICRONAS. Edition July 1, PD PRELIMINARY DATA SHEET MICRONAS INTERMETALL VPX 3220 A, VPX 3216 B, VPX 3214 C Video Pixel Decoders Edition July 1, 1996 6251-368-2PD MICRONAS VPX 3220 A, VPX 3216 B, VPX 3214 C PRELIMINARY DATA SHEET

More information

EBU INTERFACES FOR 625 LINE DIGITAL VIDEO SIGNALS AT THE 4:2:2 LEVEL OF CCIR RECOMMENDATION 601 CONTENTS

EBU INTERFACES FOR 625 LINE DIGITAL VIDEO SIGNALS AT THE 4:2:2 LEVEL OF CCIR RECOMMENDATION 601 CONTENTS EBU INTERFACES FOR 625 LINE DIGITAL VIDEO SIGNALS AT THE 4:2:2 LEVEL OF CCIR RECOMMENDATION 601 Tech. 3267 E Second edition January 1992 CONTENTS Introduction.......................................................

More information

Synchronization Issues During Encoder / Decoder Tests

Synchronization Issues During Encoder / Decoder Tests OmniTek PQA Application Note: Synchronization Issues During Encoder / Decoder Tests Revision 1.0 www.omnitek.tv OmniTek Advanced Measurement Technology 1 INTRODUCTION The OmniTek PQA system is very well

More information

Design and analysis of microcontroller system using AMBA- Lite bus

Design and analysis of microcontroller system using AMBA- Lite bus Design and analysis of microcontroller system using AMBA- Lite bus Wang Hang Suan 1,*, and Asral Bahari Jambek 1 1 School of Microelectronic Engineering, Universiti Malaysia Perlis, Perlis, Malaysia Abstract.

More information

ECE 372 Microcontroller Design

ECE 372 Microcontroller Design E.g. Port A, Port B Used to interface with many devices Switches LEDs LCD Keypads Relays Stepper Motors Interface with digital IO requires us to connect the devices correctly and write code to interface

More information

EECS150 - Digital Design Lecture 3 Synchronous Digital Systems Review. Announcements

EECS150 - Digital Design Lecture 3 Synchronous Digital Systems Review. Announcements EECS150 - Digital Design Lecture 3 Synchronous Digital Systems Review September 1, 2011 Elad Alon Electrical Engineering and Computer Sciences University of California, Berkeley http://www-inst.eecs.berkeley.edu/~cs150

More information

Model BE-64. talon 150 E. Arrow Highway, San Dimas, CA TECHNICAL DESCRIPTION. Bus Emulator/Word Generator

Model BE-64. talon 150 E. Arrow Highway, San Dimas, CA TECHNICAL DESCRIPTION. Bus Emulator/Word Generator TECHNICAL DESCRIPTION Model BE-64 Bus Emulator/Word Generator Manual Revision: December 19, 1997 Manual Part Number: BETD400 Instrument Part Number: BE-64 talon 150 E Arrow Highway, San Dimas, CA 91773

More information

Counter/timer 2 of the 83C552 microcontroller

Counter/timer 2 of the 83C552 microcontroller INTODUCTION TO THE 83C552 The 83C552 is an 80C51 derivative with several extended features: 8k OM, 256 bytes AM, 10-bit A/D converter, two PWM channels, two serial I/O channels, six 8-bit I/O ports, and

More information

GNS600 SCTE104 VANC inserter, Ethernet data-bridge for 3G, HD and SD SDI Inputs and X31 Cue encoder/decoder

GNS600 SCTE104 VANC inserter, Ethernet data-bridge for 3G, HD and SD SDI Inputs and X31 Cue encoder/decoder VANC inserter, Ethernet data-bridge for 3G, HD and SD SDI Inputs and X31 Cue encoder/decoder A Synapse product COPYRIGHT 2018 AXON DIGITAL DESIGN BV ALL RIGHTS RESERVED NO PART OF THIS DOCUMENT MAY BE

More information

FPGA Laboratory Assignment 4. Due Date: 06/11/2012

FPGA Laboratory Assignment 4. Due Date: 06/11/2012 FPGA Laboratory Assignment 4 Due Date: 06/11/2012 Aim The purpose of this lab is to help you understanding the fundamentals of designing and testing memory-based processing systems. In this lab, you will

More information

Lattice Embedded Vision Development Kit User Guide

Lattice Embedded Vision Development Kit User Guide FPGA-UG-02015 Version 1.1 January 2018 Contents Acronyms in This Document... 3 1. Introduction... 4 2. Functional Description... 5 CrossLink... 5 ECP5... 6 SiI1136... 6 3. Demo Requirements... 7 CrossLink

More information

Hello and welcome to this presentation of the STM32L4 Analog-to-Digital Converter block. It will cover the main features of this block, which is used

Hello and welcome to this presentation of the STM32L4 Analog-to-Digital Converter block. It will cover the main features of this block, which is used Hello and welcome to this presentation of the STM32L4 Analog-to-Digital Converter block. It will cover the main features of this block, which is used to convert the external analog voltage-like sensor

More information

HDMI-UVC/HDMI-Parallel converter [SVO-03 U&P]

HDMI-UVC/HDMI-Parallel converter [SVO-03 U&P] HDMI-UVC/HDMI-Parallel converter [SVO-03 U&P] Hardware specifications Rev. Net Vision Co., Ltd. SVO-03 U&P hardware specifications Revision history Revision Date Content Charge 1.0 2016/06/08 First edition

More information

LogiCORE IP AXI Video Direct Memory Access v5.01.a

LogiCORE IP AXI Video Direct Memory Access v5.01.a LogiCORE IP AXI Video Direct Memory Access v5.01.a Product Guide Table of Contents Chapter 1: Overview Feature Summary.................................................................. 9 Applications.....................................................................

More information

ADV7513 Low-Power HDMI 1.4A Compatible Transmitter

ADV7513 Low-Power HDMI 1.4A Compatible Transmitter Low-Power HDMI 1.4A Compatible Transmitter PROGRAMMING GUIDE - Revision B March 2012 REVISION HISTORY Rev A: Section 5 - Changed chip revision Rev B: Section 4.3.7.1 Corrected CSC Table 42 and Table 43

More information

Name Of The Experiment: Sequential circuit design Latch, Flip-flop and Registers

Name Of The Experiment: Sequential circuit design Latch, Flip-flop and Registers EEE 304 Experiment No. 07 Name Of The Experiment: Sequential circuit design Latch, Flip-flop and Registers Important: Submit your Prelab at the beginning of the lab. Prelab 1: Construct a S-R Latch and

More information

Section 4. Display Connector

Section 4. Display Connector Section 4. Display Connector Display Connector Introduction.................. 4-2 Signal Timing........................... 4-3 VGA Mode Display Timing.................. 4-4 Extended Graphics Mode Display

More information