Extended TSPC Structures With Double Input/Output Data Throughput for Gigahertz CMOS Circuit Design

Size: px
Start display at page:

Download "Extended TSPC Structures With Double Input/Output Data Throughput for Gigahertz CMOS Circuit Design"

Transcription

1 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 10, NO. 3, JUNE Extended TSPC Structures With Double Input/Output Data Throughput for Gigahertz CMOS Circuit Design João Navarro, S., Jr., and Wilhelmus A. M. Van Noije Abstract New structures to be applied with the extended truesingle-phase-clock (E-TSPC) CMOS circuit technique, an extension of the traditional true-single-phase-clock (TSPC) [1], [2], are presented. These structures, formed by the connection of proper data paths, allow circuits to handle data with rates that are twice the clock rate. Examples of circuits employing such structures are shortly reported and to illustrate more complex applications, the design of a dual-modulus prescaler (divide by 128/129) in a 0.8 m CMOS process is fully depicted. This prescaler, according to simulations, reaches a maximum 2.19-GHz operation rate at 5 V with a 46 mw power consumption. This new approach is also compared with a previous design (implemented with the E-TSPC technique and attaining a 1.59 GHz operation rate) and with other recently published circuits. Index Terms CMOS, digital high-speed design, dual-modulus prescaler, low power, true-single-phase-clock (TSPC). I. INTRODUCTION FROM the early days of CMOS technology up to the present, several clock policies have been proposed for the implementation of CMOS circuits. The number of clock phases a major clock feature has suffered several changes. The pseudo two-phase logic was one of the earliest techniques proposed [3]; later on, two-phase logic structures were introduced and advanced. The domino technique [4], which successfully associated two-phase circuits and dynamic gates, and the NORA technique [5], an extensive no race approach for two-phase and dynamic circuits, are landmarks of this advance. The first single-phase clock policy was only introduced in the late 1980s, called the true single-phase-clock (TSPC) [6]. Single-phase clock policies offer superior characteristics, since their usage simplifies the clock distribution on the chip and reduces the transistor number. Thus, higher frequencies and simple designs can be achieved. In the 1990s, several new TSPC features were proposed [7], and among them a comprehensive extension of the TSPC [1], the extended true-single-phase-clock CMOS circuit technique (E-TSPC); consisting of composition rules for single-phase circuits using complementary static, dynamic, latch, data precharged [7], and NMOS like blocks (ratioed logic blocks) [1], [2]. The main purpose of this paper is the introduction of new structures in the E-TSPC technique to build circuits handling Manuscript received August 4, This work was supported in part by the Conselho Nacional de Desenvolvimento Científico e Tecnológico (CNPq) and in part by the Fundação de Amparo á Pesquisa do Estado de São Paulo, Brazil. The authors are with the Department of Electronic Systems, EPUSP, University of São Paulo, São Paulo, Brazil ( navarro@lsi.usp.br; noije@lsi.usp.br). Publisher Item Identifier S (02) data with rates that are twice the clock rate. These structures are formed by the connection of certain n and p data-chains, leading to lower-power consumption or higher speed (or both) circuits. Further, the design of a dual-modulus prescaler (divide by 128/129) with the proposed structures in a standard 0.8 m CMOS process (0.7 m effective channel length) is detailed, and the simulation results are compared with a previous E-TSCP implementation and with other recently published prescalers. The prescaler implementation aims to evaluate the potentialities of the proposed new structures. This paper is organized as follows. In Section II, the E-TSPC technique is concisely reviewed, and then, in Section III, the new proposed structures are presented with some configuration examples. In Section IV, some circuit examples are depicted and the prescaler design is analyzed. Results of the prescaler and comparisons are reported in Section V, and the main conclusions are drawn in Section VI. II. THE E-TSPC CIRCUIT TECHNIQUE The allowed blocks in E-TSPC circuits have already been listed above and most of them are well-known blocks. Owing to the nonstandard nomenclature used and the importance of the block, the latch blocks and their N-MOS like versions are shown in Fig. 1. Although these blocks do not execute a true latch function, their presence is indispensable in any data chain for the holding operation. In the latch of Fig. 1, the clocked transistors of the n- and p-latches are placed close to the power rail, as suggested by [8]. Blocks with this configuration can attain a higher speed but suffer from charge-sharing problems. Latch configurations with clocked transistors close to the block output are also admissible. Note, that a new terminology associated with data precharged blocks [1], [2], with terms like pc or nonpc inputs, PH and PL blocks, and n-dp and p-dp blocks, is used in both definition 1 and Table I. Data precharged blocks are blocks where the output precharges are controlled by some of the data signal inputs, the so called pc-inputs, and not by the clock signal. In a PH data precharged block, the precharge is done when all pc-inputs are high; similarly, in a PL block, the precharge is done when all pc-inputs are low. If a PH (PL) block has all of its pc-inputs high (low) whenever the clock is low, thus performing the output precharge, the block is also called a n-dp block; likewise, if a PH (PL) block has all of its pc-inputs high (low) whenever the clock is high, the block is called a p-dp block. In E-TSPC circuits, the block connections should be done according to composition rules. Since the concept of data-chain is fundamental for understanding the rule, the definition of datachain is presented first /02$ IEEE

2 302 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 10, NO. 3, JUNE 2002 Fig. 1. The latch blocks of the E-TSPC circuit technique: (a) n-latch. (b) N-MOS like n-latch.(c) p-latch. (d) N-MOS like p-latch. TABLE I CONSTRAINTS CONCERNING THE NUMBER OF INVERSIONS BETWEEN ADJACENT BLOCKS [1], [2]. n.r.: NO RESTRICTIONS EXIST; n.a.: the CONNECTION IS NOT ALLOWED; even: AN EVEN NUMBER OF BLOCKS IS REQUIRED; odd: AN ODD NUMBER OF BLOCKS IS REQUIRED Definition 1: An n-data chain is any noncyclic signal propagation path: 1) containing at least one n-latch, or one n-dynamic, or one n-dp block; 2) starting at a circuit external input, or at the output of a p-latch, or p-dynamic, or p-dp block; when this output is followed by static blocks in the normal data flow, the data chain starts at the output of the last static block; 3) going through static, n-dynamic, n-dp, or n-latch blocks; 4) regardless of the number and order of the blocks defined above; 5) finishing in a circuit external output or in the input of the first p-latch, or p-dynamic, or p-dp block. For the p-data chains, an equivalent definition applies, replacing n with p and vice-versa. When the clock is high, n-data chains are in the evaluation phase; otherwise they are in the holding phase. P-data chains evaluate when the clock is low. In Fig. 2, part of a circuit schematic is depicted with seven complete n-data chains. Some examples are the n-data chain starting at input and going through blocks,,, and ; the n-data chain starting at and going through,,,, and ; and the n-data chain starting at and going through,,, and. Five of the six E-TSPC composition rules [1], [2] can be fused in one general rule that is presented as follows: General Rule for Data Chains: An n (p) data chain must present one of the two following configurations: ) to hold at least two blocks, one dynamic block and one latch block, and an even number of inversions between these blocks; ) to hold at least two latches and an even number of inversions between these blocks. Additionally, adjacent blocks in the same data-chain must keep between them an even or odd number of blocks (inversions) according to Table I constraints (two blocks are called adjacent if only static blocks are placed between them). Note that the three n-data chains listed in Fig. 2 conform with the general rule and also that this rule allows configurations that would be considered at fault if other composition rules of the literature were applied. For example, according to the composition rules presented in [7], the most comprehensive composition rules to TSCP, blocks and should not be interconnected, and blocks and should not be interposed between blocks and. Although the above-described rule is sufficient to ensure that data-precharged gates are precharged, that dynamic gates are not affected by incorrect discharges, and that the output of the data-chain last latch is steady at the end of holding phases, the rule conformation is not necessary to the correct operation of the circuit. In fact, typical TSPC circuits employ the D-flip-flop (D-FF) of Fig. 3 that does not conform to the general rule but operates correctly if proper delays exist. For this reason, an exception rule, comprehending configurations similar to the TSPC D-FF, is added as the sixth rule [1], [2].

3 NAVARRO AND VAN NOIJE: EXTENDED TSPC STRUCTURES WITH DOUBLE INPUT OUTPUT DATA 303 Fig. 2. Example of n-data chains. The blocks mentioned in the text are named and hatched in the figure. Fig. 3. Two TSPC D-flip-flops connected in series. A circuit example that does not conform to the general rule but usually operates correctly. III. E-TSPC NEW STRUCTURES To understand the new structures that will be discussed later, two characteristics of the data-chain operations should be discerned. The first characteristic is found in data chains, n or p, where dynamic and data-precharged blocks are not present. For these data chains, here called fi-data chains (data chains with fusible input), during evaluation phases, input alterations do not cause undesirable discharges, so the data chain output will yield the correct value. 1 The second characteristic is found on data-chains, n or p, where there is a single latch that is also the last block of the data-chain. In consequence, the data chain must comply with the rule. For these data chains, here called fo-data chains (data chains with fusible output), during the holding phases, the output keeps the result calculated along the previous evaluation phase but is in a high impedance state. Input and output structures handling input data and providing output data with rates twice higher than the clock rate are feasible due to the described characteristics. The input structures are obtained through the connection of the inputs of fi-n and fi-p data chains; as a result, while the clock signal is high, the input data go to the n-data chains, and, while the clock signal is 1 The input of the data chain is handled like a block output. low, the data go to the p-data chains. The output structures are obtained through the connection of the outputs of fo-n and fo-p data chains (in case of more than one n (p) data chain, a unique latch must be the last latch of all n (p) data chains); similarly to the input structures, while the clock is high, the output data come from the n-data chains, otherwise, from the p-data chains. The combination of those structures allows new complex designs working with two data evaluations per clock cycle. Some simple examples are presented in Fig. 4. The input data rate in Fig. 4(a) is twice the clock rate and the rate of the two outputs is equal to the clock rate. In contrast, the rate of the two inputs in Fig. 4(b) is equal to the clock rate and the output rate is doubled. Finally, in Fig. 4(c), both input and output rates are doubled. Also, different state machine configurations can be adopted to fulfill the input and output throughput necessities. In Fig. 5, two examples are shown. The input data rate, the output data rate, and the present state data rate are twice the clock rate in the configuration of Fig. 5(a). In case of input rates equal to the clock rate and doubled output rate, a configuration like the one in Fig. 5(b) can be used. IV. CIRCUIT EXAMPLES The input and output structures explained above can be employed with advantage in designs where high speed is pursued; additionally, since it is possible to tradeoff speed against power consumption, reducing transistor dimensions or power supply values, lower-power consumption can alternatively be reached [9]. We will depict some design examples to illustrate the advantages of the new structures. Several circuits have already been implemented using the combinations presented in Fig. 4. In [2], the proposed 1:8 demultiplexer with byte aligner and the 8:1 multiplexer, both implemented in a 0.8 m CMOS technology, are examples of these designs. In the demultiplexer design, the input data is pushed on two parallel shift paths, one dedicated to the even bits and the

4 304 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 10, NO. 3, JUNE 2002 Fig. 4. Structures to double the data rate; the cross-hatched blocks have to be used if the output data (a) or the input data (b) are synchronized. Fig. 5. State machine configurations. Fig. 6. (a) Transistor schematic of the the 1:8 demultiplexer. (b) Basic 2:1 multiplexer block. Fig. 7. Schematic of the dual-modulus prescaler (divide-by-128/129). other to the odd bits, in order to detect the A1 framing bytes ( ) [10]. A circuit based on the natural 1:2 demultiplexer of the structure in Fig. 4(a) is used to distribute the input data to the two shift paths, as detailed in Fig. 6(a); the full 1:8 demultiplexer reached a measured maximum 1.38 GB/s operation rate at 4.7 V with 349 mw power consumption. In the multiplexer design, the input data is joined by several simple 2:1 multiplexers, the central block of the circuit. A circuit based on the 2:1 multiplexer of the structure in Fig. 4(b) is used in this task, as detailed in Fig. 6(b), and the full 8:1 multiplexer reached a measured maximum 1.7 GB/s operation rate at 5 V with 87.7 mw [11]. Both designs present a very favorable performance when compared with other implementations. In addition, the use of D-FFs triggered by both clock edges, with structure similar to the one in Fig. 4(c), has already been suggested in the literature [12]. To illustrate the application of state machine configurations, we describe the design of a high speed dual-modulus prescaler (divide by 128/129), using a standard 0.8 m CMOS bulk process (ES2/ATMEL CMOS). Prescalers are employed in frequency synthesis systems and have been frequently used to compare different high speed circuit techniques [13] [15]. In Fig. 7, the schematic diagram of a prescaler is depicted. Two parts can be identified in the diagram: the first part, inside the cross-hatched box, is composed of three D-FFs and two logic gates, and forms a synchronous divide-by-4/5 counter [see the timing diagram in Fig. 8(a)]; the other part, at the bottom of the figure, is composed of five D-FFs and forms an asynchronous divide-by-32 counter. The div32 signal, generated by the asynchronous counter, selects if the divide-by-4/5 counter counts up to 4 ( high) or up to 5 ( low). The fractional division ratio of the prescaler, 128 or 129, is selected according to the signal value.

5 NAVARRO AND VAN NOIJE: EXTENDED TSPC STRUCTURES WITH DOUBLE INPUT OUTPUT DATA 305 Fig. 8. The timing diagram (a) and the transition diagram (b) of the synchronous divide-by-4/5 counter. Fig. 9. The transition diagram (a) and the timing diagram (b) of the new state machine which executes the synchronous division. In this prescaler, the synchronous counter is the critical part in terms of speed. It may be treated as a state machine with one input, the div32 signal, and one output, the A signal; the transition diagram of this state machine is shown in Fig. 8(b). The states of the machine are codified by signals A, B, and C. Using the configuration in Fig. 5(b), we can build a state machine with the same input output pair; in Fig. 9(a), the transition diagram of such machine is depicted. In this case, the state machine clock rate is half the original clock rate. To generate the counter output, the signal which will feed the asynchronous counter, the output of a fo-n data chain and the output of a fo-p data chain, respectively conveying signal A and signal B, are fused. As a result, the counter output carries the A value when the state machine clock is high and the B value when the state machine clock is low. In Fig. 9(b), the timing diagram of the new divide-by-4/5 counter is shown. Note that when the machine is executing the divide-by-4 operation, two cases are expected: the machine moving back and forth between 000 and 110 states or between 100 and 010 states. In Fig. 10, the transistor schematic of the new approach of the divide-by-4/5 counter is depicted with the transistor dimensions in m. The three cross-hatched boxes mark the positive edgetriggered D-FFs; the fusion of signals A and B is done through the data chains sketched in the upper portion of the figure. Note that small dimension transistors are applied in the design. V. RESULTS A full prescaler circuit layout was formed with the divide-by-4/5 counter considered above. Conventional positive edge-triggered TSPC D-FFs (Fig. 3) are used for all the flip-flops of the asynchronous counter except one: the flip-flop clocked directly by the synchronous counter. For this, the conventional positive edge-triggered D-FF was slightly modified to reach higher speed (an N-MOS like p-latch block is used as the first block of the flip-flop). The division of the clock signal to create the clk/2 signal (Fig. 10) is performed by a negative edge-triggered D-FF with a modified configuration [13] for speed optimization. The new prescaler performance was evaluated through SPICE simulations (level two typical parameters, at room temperature) of the netlist extracted from the layout. The simulation results are compared with results of the prescaler described in[16] which has the following characteristics: it was designed with the E-TSPC technique; the process used is the same ES2/ATMEL CMOS process of this work; small transistor sizes were also adopted.

6 306 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 10, NO. 3, JUNE 2002 Fig. 10. Transistor schematic of the new synchronous divide-by-4/5 counter. The transistor widths, in m, are indicated in the figure; the transistor lengths are 0.8 m. The signal clk/2 is the input clock divided by 2. In Fig. 11, the simulated maximum input frequency results of the new prescaler and both the simulated and the measured maximum input frequency results of the prescaler in [16] are shown. For both the measurements and the simulations, the maximum input clock excursion is 3 V, since the pulse generator employed in the measurements had a 3 V maximum excursion. The graphic presents the significant gain in speed, over 50%, provided by the new implementation. The power performances of the two circuits are presented in Fig. 12 (only simulation results). The total power consumption is calculated through the addition of three terms: the power consumption of the clock buffer (for the new prescaler, in this term the power consumption of the D-FF that divides by 2 the clock signal is also included); the power consumption of the synchronous divide-by-4/5 counter; and the power of the asynchronous counter. In Fig. 12(a), the total power consumption for each prescaler at maximum speed and at different values of power supply is depicted. The graphic shows that the new prescaler cannot only reach higher speed but also consumes considerably less power if the two prescalers operate with the same input signal frequency and with the minimum needed power-supply voltage; for instance, the prescaler in [16] can reach 1.4 GHz with power supply of 4.8 V and consumes 34 mw; the new prescaler, however, may reach the same frequency with power supply of 3.2 V and consumes less than 12 mw. In Fig. 12(b), the contribution of the power terms and the total power are drawn; in this case, the input frequency for both circuits are equal to the maximum speed reached by the prescaler described in [16]. The graphic shows that, despite the higher complexity of the new prescaler, the two circuits consume nearly the same power when working with the same power supply and the same input frequency. The performance of different dual-modulus prescalers presented in the literature and our test outcomes are summarized in Table II. Although the comparison among the implementations is feasible, some caution should be taken during the analyses, mainly with the power-consumption data analyses. We notice that for some papers used in this work, [13], [15], [16], [17], Fig. 11. Results for the prescalers maximum input frequency versus the power supply. Both the simulation and the measurement results were obtained using an input pulse with maximum excursion of 3 V. the authors do not elucidate which power consumption terms were considered in the power results (we found, through private communication with the authors, that in [13], [15], [16] the presented power results do not comprise the clock buffer consumption). Table II shows that the new implementation has the best power consumption characteristics and it is one of the fastest prescalers. VI. CONCLUSION The enlargement of the E-TSPC technique with new structures that may double the input and output data rates was reported. Examples of circuits, an 8:1 demultiplexer, a 1:8 multiplexer, and a prescaler, were given to illustrate the applications of these structures. In particular, the detailed design of a dual-modulus prescaler (divide by 128/129), developed in a 0.8 m CMOS process, was studied. The complete layout was drawn and its netlist for SPICE simulations, extracted. The simulated circuit attained 2.19 GHz and 20.9 W MHz power consumption with 5 V (the power consumption of the clock buffer

7 NAVARRO AND VAN NOIJE: EXTENDED TSPC STRUCTURES WITH DOUBLE INPUT OUTPUT DATA 307 Fig. 12. The power performance of the two prescalers (simulation results). (a) The total power consumption at maximum speed versus the prescaler input frequency for different values of power supply. (b) The power consumption terms versus the power supply. TABLE II SOME PRESCALER RESULTS ARE SUMMARIZED. NOTE THAT, FOR DIFFERENT WORKS, THE PARTIAL, WITHOUT CLOCK BUFFER CONSUMPTION, THE TOTAL, OR, IN A FEW CASES, BOTH POWER CONSUMPTION RESULTS ARE SUPPLIED. THE CROSS-HATCHED VALUES OF THE TABLE FOUND BY SIMULATIONS* *It is not clear whether the power consumption value comprises the clock buffer consumption. is included). The results, compared with other implementations, reassure the advantages of the proposed structures. ACKNOWLEDGMENT The authors would like to thank J. Park and H. Yan for the valuable information concerning the prescaler measurement results of [13] and [15]. REFERENCES [1] J. Navarro and W. Van Noije, E-TSPC: Extended True Single Phase Clock CMOS circuit technique, in VLSI: Integrated Syst. Silicon, IFIP Int, Conf. VLSI, R. Reis and L. Claesen, Eds., London, U.K., 1997, pp [2] J. Navarro, Design techniques for high speed CMOS ASIC s, Ph.D. dissertation, Univ. São Paulo, Dept. Elect. Eng., São Paulo, Brazil, [3] N. H. E. Weste and K. Eshraghian, Principles of CMOS VLSI design, 2 ed. Reading, Ma: Addison-Wesley, [4] R. H. Krambeck, C. M. Lee, and H.-F. S. Law, High-speed compact circuits with CMOS, IEEE J. Solid-State Circuits, vol. 17, pp , June [5] N. F. Gonçalves, NORA: a racefree CMOS technique for register transfer systems, Ph.D. dissertation, Katholieke Universiteit Leuven, Leuven, Belgium, [6] Y. Ji-ren, I. Karlsson, and C. Svensson, A true single-phase-clock dynamic CMOS circuit technique, IEEE J. Solid-State Circuits, vol. 22, pp , Oct [7] P. Larsson, Skew safety and logic flexibility in a true single phase clocked system, in Proc. IEEE ISCAS, Seattle, USA, WA, May 1995, pp [8] Q. Huang, Speed optimization of edge-triggered nine-transistor D-flip-flop for gigahertz single-phase clocks, in Proc. IEEE ISCAS, Chicago, IL, May 1993, pp [9] A. P. Chandrakasan and R. W. Brodersen, Low power digital CMOS design, 2 ed. Norwell, MA: Kluwer, [10] F. L. Romão, J. Navarro, R. Silveira, and W. Van Noije, 1.2 GB/S SONET/SDH demux in CMOS technology, in Proc. SBMO/IEEE MTT-S Int. Microwave and Optoelectronics Conf., vol. 1, Rio de Janeiro, BR, July 1995, pp [11] J. Navarro and W. Van Noije, Design of an 8:1 MUX at 1.7 Gbit/s in 0.8 m CMOS technology, in Proc. IEEE Great Lakes Symp. VSLSI, Lafayette, IL, Feb. 1998, pp [12] M. Afghahi and J. Yuan, Doubled edge-triggered D-flip-flops for high-speed CMOS circuits, IEEE J. Solid-State Circuits, vol. 26, pp , Aug [13] B. Chang, J. Park, and W. Kin, A 1.2 GHz CMOS dual-modulus prescaler using new dynamic D-type flip-flops, IEEE J. Solid-State Circuits, vol. 31, pp , May [14] C.-Y. Yang, G.-K. Dehng, J.-M. Hsu, and S.-I. Liu, New dynamic flip-flop for high-speed dual-modulus prescaler, IEEE J. Solid-State Circuits, vol. 33, pp , Oct

8 308 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 10, NO. 3, JUNE 2002 [15] H. Yan, M. Biyani, and K. K. O, A high-speed CMOS dual-phase dynamic-pseudo NMOS ((DP ) ) latch and its application in a dual-modulus prescaler, IEEE J. Solid-State Circuits, vol. 34, pp , Oct [16] J. Navarro and W. Van Noije, A 1.6-GHz dual modulus prescaler using the Extended True-Single-Phase-Clock CMOS circuit technique (E-TSPC), IEEE J. Solid-State Circuits, vol. 34, pp , Jan [17] J. Craninckx and M. S. J. Steyaert, A 1.75-GHz/3-V dual-modulus divide-by-128/129 prescaler in 0.7 m CMOS, IEEE J. Solid-State Circuits, vol. 31, pp , July Wilhelmus A. M. Van Noije was born in the Netherlands. He received the B.S.E.E. and the M.S.E.E. degrees from the University of São Paulo, Brazil, and the Ph.D. degree in applied science from the Katheoleke Universiteit Leuven, Belgium, in 1975, 1978, and 1985, respectively. Since, 1987, he has been with the Department of Electrical Systems Engineering, University of São Paulo (PSI/EPUSP) where in 1998, he became a Full Professor and since 1999, he has been the Department Head. Also, since 1988, he has been the Coordinator of the VLSI Systems Design Division of the Integrated Systems Laboratory (LSI/PSI/EPUSP), and is involved in IC layout synthesis on sea-of-gates (SOG) structures, analog circuits on SOG, high-speed CMOS integrated circuit techniques, and recently in RF circuits design. João Navarro S., Jr., received the B.S., M.S., and Ph.D. degrees in electrical engineering from the Polytechnic School, University of São Paulo (EPUSP), Brazil, in 1986, 1990, and 1998, respectively. Since 1990, he has been a Research Staff Member at the EPUSP and since 2001, he also been a Professor of Computer Science at SENAC, Brazil. His current research interests include high-speed digital circuits, RF designs, and clock distribution.

A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY

A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY Ms. Chaitali V. Matey 1, Ms. Shraddha K. Mendhe 2, Mr. Sandip A.

More information

Design of an Efficient Low Power Multi Modulus Prescaler

Design of an Efficient Low Power Multi Modulus Prescaler International Journal of Engineering Research and Development e-issn: 2278-067X, p-issn: 2278-800X, www.ijerd.com Volume 6, Issue 3 (March 2013), PP. 15-22 Design of an Efficient Low Power Multi Modulus

More information

High Frequency 32/33 Prescalers Using 2/3 Prescaler Technique

High Frequency 32/33 Prescalers Using 2/3 Prescaler Technique High Frequency 32/33 Prescalers Using 2/3 Prescaler Technique Don P John (School of Electrical Sciences, Karunya University, Coimbatore ABSTRACT Frequency synthesizer is one of the important element for

More information

CMOS Low Power, High Speed Dual- Modulus32/33Prescalerin sub-nanometer Technology

CMOS Low Power, High Speed Dual- Modulus32/33Prescalerin sub-nanometer Technology IJSTE International Journal of Science Technology & Engineering Vol. 1, Issue 1, July 2014 ISSN(online): 2349-784X CMOS Low Power, High Speed Dual- Modulus32/33Prescalerin sub-nanometer Technology Dabhi

More information

Design of a High Frequency Dual Modulus Prescaler using Efficient TSPC Flip Flop using 180nm Technology

Design of a High Frequency Dual Modulus Prescaler using Efficient TSPC Flip Flop using 180nm Technology Design of a High Frequency Dual Modulus Prescaler using Efficient TSPC Flip Flop using 180nm Technology Divya shree.m 1, H. Venkatesh kumar 2 PG Student, Dept. of ECE, Nagarjuna College of Engineering

More information

Efficient Architecture for Flexible Prescaler Using Multimodulo Prescaler

Efficient Architecture for Flexible Prescaler Using Multimodulo Prescaler Efficient Architecture for Flexible Using Multimodulo G SWETHA, S YUVARAJ Abstract This paper, An Efficient Architecture for Flexible Using Multimodulo is an architecture which is designed from the proposed

More information

DESIGN OF A NEW MODIFIED CLOCK GATED SENSE-AMPLIFIER FLIP-FLOP

DESIGN OF A NEW MODIFIED CLOCK GATED SENSE-AMPLIFIER FLIP-FLOP DESIGN OF A NEW MODIFIED CLOCK GATED SENSE-AMPLIFIER FLIP-FLOP P.MANIKANTA, DR. R. RAMANA REDDY ABSTRACT In this paper a new modified explicit-pulsed clock gated sense-amplifier flip-flop (MCG-SAFF) is

More information

High speed, Low power N/ (N+1) prescaler using TSPC and E-TSPC: A survey Nemitha B 1, Pradeep Kumar B.P 2

High speed, Low power N/ (N+1) prescaler using TSPC and E-TSPC: A survey Nemitha B 1, Pradeep Kumar B.P 2 High speed, Low power N/ (N+1) prescaler using TSPC and E-TSPC: A survey Nemitha B 1, Pradeep Kumar B.P 2 1 PG scholar, Dept of ECE, AIT, Tumkur, Karnataka, India 2 Asst.professor, Dept of ECE, AIT, Tumkur,

More information

Low Power Area Efficient Parallel Counter Architecture

Low Power Area Efficient Parallel Counter Architecture Low Power Area Efficient Parallel Counter Architecture Lekshmi Aravind M-Tech Student, Dept. of ECE, Mangalam College of Engineering, Kottayam, India Abstract: Counters are specialized registers and is

More information

Design of New Dual Edge Triggered Sense Amplifier Flip-Flop with Low Area and Power Efficient

Design of New Dual Edge Triggered Sense Amplifier Flip-Flop with Low Area and Power Efficient Design of New Dual Edge Triggered Sense Amplifier Flip-Flop with Low Area and Power Efficient Ms. Sheik Shabeena 1, R.Jyothirmai 2, P.Divya 3, P.Kusuma 4, Ch.chiranjeevi 5 1 Assistant Professor, 2,3,4,5

More information

A Modified Static Contention Free Single Phase Clocked Flip-flop Design for Low Power Applications

A Modified Static Contention Free Single Phase Clocked Flip-flop Design for Low Power Applications JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.8, NO.5, OCTOBER, 08 ISSN(Print) 598-657 https://doi.org/57/jsts.08.8.5.640 ISSN(Online) -4866 A Modified Static Contention Free Single Phase Clocked

More information

A Symmetric Differential Clock Generator for Bit-Serial Hardware

A Symmetric Differential Clock Generator for Bit-Serial Hardware A Symmetric Differential Clock Generator for Bit-Serial Hardware Mitchell J. Myjak and José G. Delgado-Frias School of Electrical Engineering and Computer Science Washington State University Pullman, WA,

More information

Design of a Low Power and Area Efficient Flip Flop With Embedded Logic Module

Design of a Low Power and Area Efficient Flip Flop With Embedded Logic Module IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 10, Issue 6, Ver. II (Nov - Dec.2015), PP 40-50 www.iosrjournals.org Design of a Low Power

More information

HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP

HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP 1 R.Ramya, 2 C.Hamsaveni 1,2 PG Scholar, Department of ECE, Hindusthan Institute Of Technology,

More information

data and is used in digital networks and storage devices. CRC s are easy to implement in binary

data and is used in digital networks and storage devices. CRC s are easy to implement in binary Introduction Cyclic redundancy check (CRC) is an error detecting code designed to detect changes in transmitted data and is used in digital networks and storage devices. CRC s are easy to implement in

More information

Low Power, Noise-Free 4/5 PrescalarUsing Domino Logic

Low Power, Noise-Free 4/5 PrescalarUsing Domino Logic I J E E E C International Journal of Electrical, Electronics ISSN No. (Online): 2277-2626 and Computer Engineering 4(2): 154-161(2015) Low Power, Noise-Free 4/5 PrescalarUsing Domino Logic Shimpy Rai and

More information

High Speed 8-bit Counters using State Excitation Logic and their Application in Frequency Divider

High Speed 8-bit Counters using State Excitation Logic and their Application in Frequency Divider High Speed 8-bit Counters using State Excitation Logic and their Application in Frequency Divider Ranjith Ram. A 1, Pramod. P 2 1 Department of Electronics and Communication Engineering Government College

More information

LFSR Counter Implementation in CMOS VLSI

LFSR Counter Implementation in CMOS VLSI LFSR Counter Implementation in CMOS VLSI Doshi N. A., Dhobale S. B., and Kakade S. R. Abstract As chip manufacturing technology is suddenly on the threshold of major evaluation, which shrinks chip in size

More information

P.Akila 1. P a g e 60

P.Akila 1. P a g e 60 Designing Clock System Using Power Optimization Techniques in Flipflop P.Akila 1 Assistant Professor-I 2 Department of Electronics and Communication Engineering PSR Rengasamy college of engineering for

More information

INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET)

INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) Proceedings of the 2 nd International Conference on Current Trends in Engineering and Management ICCTEM -2014 ISSN

More information

DESIGN OF DOUBLE PULSE TRIGGERED FLIP-FLOP BASED ON SIGNAL FEED THROUGH SCHEME

DESIGN OF DOUBLE PULSE TRIGGERED FLIP-FLOP BASED ON SIGNAL FEED THROUGH SCHEME Scientific Journal Impact Factor (SJIF): 1.711 e-issn: 2349-9745 p-issn: 2393-8161 International Journal of Modern Trends in Engineering and Research www.ijmter.com DESIGN OF DOUBLE PULSE TRIGGERED FLIP-FLOP

More information

IN DIGITAL transmission systems, there are always scramblers

IN DIGITAL transmission systems, there are always scramblers 558 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 7, JULY 2006 Parallel Scrambler for High-Speed Applications Chih-Hsien Lin, Chih-Ning Chen, You-Jiun Wang, Ju-Yuan Hsiao,

More information

DESIGN AND IMPLEMENTATION OF SYNCHRONOUS 4-BIT UP COUNTER USING 180NM CMOS PROCESS TECHNOLOGY

DESIGN AND IMPLEMENTATION OF SYNCHRONOUS 4-BIT UP COUNTER USING 180NM CMOS PROCESS TECHNOLOGY DESIGN AND IMPLEMENTATION OF SYNCHRONOUS 4-BIT UP COUNTER USING 180NM CMOS PROCESS TECHNOLOGY Yogita Hiremath 1, Akalpita L. Kulkarni 2, J. S. Baligar 3 1 PG Student, Dept. of ECE, Dr.AIT, Bangalore, Karnataka,

More information

DESIGN AND ANALYSIS OF LOW POWER STS PULSE TRIGGERED FLIP-FLOP USING 250NM CMOS TECHNOLOGY

DESIGN AND ANALYSIS OF LOW POWER STS PULSE TRIGGERED FLIP-FLOP USING 250NM CMOS TECHNOLOGY DESIGN AND ANALYSIS OF LOW POWER STS PULSE TRIGGERED FLIP-FLOP USING 250NM CMOS TECHNOLOGY 1 M.SRINIVAS, 2 K.BABULU 1 Project Associate JNTUK, 2 Professor of ECE Dept. JNTUK Email: srinivas.mattaparti@gmail.com,

More information

PERFORMANCE ANALYSIS OF AN EFFICIENT PULSE-TRIGGERED FLIP FLOPS FOR ULTRA LOW POWER APPLICATIONS

PERFORMANCE ANALYSIS OF AN EFFICIENT PULSE-TRIGGERED FLIP FLOPS FOR ULTRA LOW POWER APPLICATIONS Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology ISSN 2320 088X IMPACT FACTOR: 5.258 IJCSMC,

More information

Asynchronous Model of Flip-Flop s and Latches for Low Power Clocking

Asynchronous Model of Flip-Flop s and Latches for Low Power Clocking Asynchronous Model of Flip-Flop s and Latches for Low Power Clocking G.Abhinaya Raja & P.Srinivas Department Of Electronics & Comm. Engineering, Nimra College of Engineering & Technology, Ibrahimpatnam,

More information

Low-Power and Area-Efficient Shift Register Using Pulsed Latches

Low-Power and Area-Efficient Shift Register Using Pulsed Latches Low-Power and Area-Efficient Shift Register Using Pulsed Latches G.Sunitha M.Tech, TKR CET. P.Venkatlavanya, M.Tech Associate Professor, TKR CET. Abstract: This paper proposes a low-power and area-efficient

More information

Sequential Logic. References:

Sequential Logic. References: Sequential Logic Reerences: Adapted rom: Digital Integrated Circuits: A Design Perspective, J. Rabaey UCB Principles o CMOS VLSI Design: A Systems Perspective, 2nd Ed., N. H. E. Weste and K. Eshraghian

More information

Reduction of Clock Power in Sequential Circuits Using Multi-Bit Flip-Flops

Reduction of Clock Power in Sequential Circuits Using Multi-Bit Flip-Flops Reduction of Clock Power in Sequential Circuits Using Multi-Bit Flip-Flops A.Abinaya *1 and V.Priya #2 * M.E VLSI Design, ECE Dept, M.Kumarasamy College of Engineering, Karur, Tamilnadu, India # M.E VLSI

More information

AN EFFICIENT LOW POWER DESIGN FOR ASYNCHRONOUS DATA SAMPLING IN DOUBLE EDGE TRIGGERED FLIP-FLOPS

AN EFFICIENT LOW POWER DESIGN FOR ASYNCHRONOUS DATA SAMPLING IN DOUBLE EDGE TRIGGERED FLIP-FLOPS AN EFFICIENT LOW POWER DESIGN FOR ASYNCHRONOUS DATA SAMPLING IN DOUBLE EDGE TRIGGERED FLIP-FLOPS NINU ABRAHAM 1, VINOJ P.G 2 1 P.G Student [VLSI & ES], SCMS School of Engineering & Technology, Cochin,

More information

CHAPTER 6 ASYNCHRONOUS QUASI DELAY INSENSITIVE TEMPLATES (QDI) BASED VITERBI DECODER

CHAPTER 6 ASYNCHRONOUS QUASI DELAY INSENSITIVE TEMPLATES (QDI) BASED VITERBI DECODER 80 CHAPTER 6 ASYNCHRONOUS QUASI DELAY INSENSITIVE TEMPLATES (QDI) BASED VITERBI DECODER 6.1 INTRODUCTION Asynchronous designs are increasingly used to counter the disadvantages of synchronous designs.

More information

Abstract 1. INTRODUCTION. Cheekati Sirisha, IJECS Volume 05 Issue 10 Oct., 2016 Page No Page 18532

Abstract 1. INTRODUCTION. Cheekati Sirisha, IJECS Volume 05 Issue 10 Oct., 2016 Page No Page 18532 www.ijecs.in International Journal Of Engineering And Computer Science ISSN: 2319-7242 Volume 5 Issue 10 Oct. 2016, Page No. 18532-18540 Pulsed Latches Methodology to Attain Reduced Power and Area Based

More information

Chapter 6. Flip-Flops and Simple Flip-Flop Applications

Chapter 6. Flip-Flops and Simple Flip-Flop Applications Chapter 6 Flip-Flops and Simple Flip-Flop Applications Basic bistable element It is a circuit having two stable conditions (states). It can be used to store binary symbols. J. C. Huang, 2004 Digital Logic

More information

EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP. Due İLKER KALYONCU, 10043

EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP. Due İLKER KALYONCU, 10043 EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP Due 16.05. İLKER KALYONCU, 10043 1. INTRODUCTION: In this project we are going to design a CMOS positive edge triggered master-slave

More information

Chapter 5 Flip-Flops and Related Devices

Chapter 5 Flip-Flops and Related Devices Chapter 5 Flip-Flops and Related Devices Chapter 5 Objectives Selected areas covered in this chapter: Constructing/analyzing operation of latch flip-flops made from NAND or NOR gates. Differences of synchronous/asynchronous

More information

DUAL EDGE-TRIGGERED D-TYPE FLIP-FLOP WITH LOW POWER CONSUMPTION

DUAL EDGE-TRIGGERED D-TYPE FLIP-FLOP WITH LOW POWER CONSUMPTION DUAL EDGE-TRIGGERED D-TYPE FLIP-FLOP WITH LOW POWER CONSUMPTION Chien-Cheng Yu 1, 2 and Ching-Chith Tsai 1 1 Department of Electrical Engineering, National Chung-Hsing University, Taichung, Taiwan 2 Department

More information

Metastability Analysis of Synchronizer

Metastability Analysis of Synchronizer Forn International Journal of Scientific Research in Computer Science and Engineering Research Paper Vol-1, Issue-3 ISSN: 2320 7639 Metastability Analysis of Synchronizer Ankush S. Patharkar *1 and V.

More information

A Low-Power CMOS Flip-Flop for High Performance Processors

A Low-Power CMOS Flip-Flop for High Performance Processors A Low-Power CMOS Flip-Flop for High Performance Processors Preetisudha Meher, Kamala Kanta Mahapatra Dept. of Electronics and Telecommunication National Institute of Technology Rourkela, India Preetisudha1@gmail.com,

More information

Improve Performance of Low-Power Clock Branch Sharing Double-Edge Triggered Flip-Flop

Improve Performance of Low-Power Clock Branch Sharing Double-Edge Triggered Flip-Flop Sumant Kumar et al. 2016, Volume 4 Issue 1 ISSN (Online): 2348-4098 ISSN (Print): 2395-4752 International Journal of Science, Engineering and Technology An Open Access Journal Improve Performance of Low-Power

More information

EFFICIENT POWER REDUCTION OF TOPOLOGICALLY COMPRESSED FLIP-FLOP AND GDI BASED FLIP FLOP

EFFICIENT POWER REDUCTION OF TOPOLOGICALLY COMPRESSED FLIP-FLOP AND GDI BASED FLIP FLOP EFFICIENT POWER REDUCTION OF TOPOLOGICALLY COMPRESSED FLIP-FLOP AND GDI BASED FLIP FLOP S.BANUPRIYA 1, R.GOWSALYA 2, M.KALEESWARI 3, B.DHANAM 4 1, 2, 3 UG Scholar, 4 Asst.Professor/ECE 1, 2, 3, 4 P.S.R.RENGASAMY

More information

Analysis of Digitally Controlled Delay Loop-NAND Gate for Glitch Free Design

Analysis of Digitally Controlled Delay Loop-NAND Gate for Glitch Free Design Analysis of Digitally Controlled Delay Loop-NAND Gate for Glitch Free Design S. Karpagambal, PG Scholar, VLSI Design, Sona College of Technology, Salem, India. e-mail:karpagambals.nsit@gmail.com M.S. Thaen

More information

High Performance Dynamic Hybrid Flip-Flop For Pipeline Stages with Methodical Implanted Logic

High Performance Dynamic Hybrid Flip-Flop For Pipeline Stages with Methodical Implanted Logic High Performance Dynamic Hybrid Flip-Flop For Pipeline Stages with Methodical Implanted Logic K.Vajida Tabasum, K.Chandra Shekhar Abstract-In this paper we introduce a new high performance dynamic hybrid

More information

Asynchronous (Ripple) Counters

Asynchronous (Ripple) Counters Circuits for counting events are frequently used in computers and other digital systems. Since a counter circuit must remember its past states, it has to possess memory. The chapter about flip-flops introduced

More information

SYNCHRONOUS DERIVED CLOCK AND SYNTHESIS OF LOW POWER SEQUENTIAL CIRCUITS *

SYNCHRONOUS DERIVED CLOCK AND SYNTHESIS OF LOW POWER SEQUENTIAL CIRCUITS * SYNCHRONOUS DERIVED CLOCK AND SYNTHESIS OF LOW POWER SEUENTIAL CIRCUITS * Wu Xunwei (Department of Electronic Engineering Hangzhou University Hangzhou 328) ing Wu Massoud Pedram (Department of Electrical

More information

LOW-POWER CLOCK DISTRIBUTION IN EDGE TRIGGERED FLIP-FLOP

LOW-POWER CLOCK DISTRIBUTION IN EDGE TRIGGERED FLIP-FLOP LOW-POWER CLOCK DISTRIBUTION IN EDGE TRIGGERED FLIP-FLOP Rahul Yadav 1, Rahul Shrivastava 2, Vijay Yadav 3 1 M.Tech Scholar, 2 Asst. Prof., 3 Asst. Prof Department of Electronics and Communication Engineering,

More information

Comparative study on low-power high-performance standard-cell flip-flops

Comparative study on low-power high-performance standard-cell flip-flops Comparative study on low-power high-performance standard-cell flip-flops S. Tahmasbi Oskuii, A. Alvandpour Electronic Devices, Linköping University, Linköping, Sweden ABSTRACT This paper explores the energy-delay

More information

GLITCH FREE NAND BASED DCDL IN PHASE LOCKED LOOP APPLICATION

GLITCH FREE NAND BASED DCDL IN PHASE LOCKED LOOP APPLICATION GLITCH FREE NAND BASED DCDL IN PHASE LOCKED LOOP APPLICATION S. Karpagambal 1 and M. S. Thaen Malar 2 1 VLSI Design, Sona College of Technology, Salem, India 2 Department of Electronics and Communication

More information

Dual Edge Adaptive Pulse Triggered Flip-Flop for a High Speed and Low Power Applications

Dual Edge Adaptive Pulse Triggered Flip-Flop for a High Speed and Low Power Applications International Journal of Scientific and Research Publications, Volume 5, Issue 10, October 2015 1 Dual Edge Adaptive Pulse Triggered Flip-Flop for a High Speed and Low Power Applications S. Harish*, Dr.

More information

LOW POWER AND HIGH PERFORMANCE SHIFT REGISTERS USING PULSED LATCH TECHNIQUE

LOW POWER AND HIGH PERFORMANCE SHIFT REGISTERS USING PULSED LATCH TECHNIQUE OI: 10.21917/ijme.2018.0088 LOW POWER AN HIGH PERFORMANCE SHIFT REGISTERS USING PULSE LATCH TECHNIUE Vandana Niranjan epartment of Electronics and Communication Engineering, Indira Gandhi elhi Technical

More information

A Power Efficient Flip Flop by using 90nm Technology

A Power Efficient Flip Flop by using 90nm Technology A Power Efficient Flip Flop by using 90nm Technology Mrs. Y. Lavanya Associate Professor, ECE Department, Ramachandra College of Engineering, Eluru, W.G (Dt.), A.P, India. Email: lavanya.rcee@gmail.com

More information

DESIGN AND SIMULATION OF A CIRCUIT TO PREDICT AND COMPENSATE PERFORMANCE VARIABILITY IN SUBMICRON CIRCUIT

DESIGN AND SIMULATION OF A CIRCUIT TO PREDICT AND COMPENSATE PERFORMANCE VARIABILITY IN SUBMICRON CIRCUIT DESIGN AND SIMULATION OF A CIRCUIT TO PREDICT AND COMPENSATE PERFORMANCE VARIABILITY IN SUBMICRON CIRCUIT Sripriya. B.R, Student of M.tech, Dept of ECE, SJB Institute of Technology, Bangalore Dr. Nataraj.

More information

Modified Ultra-Low Power NAND Based Multiplexer and Flip-Flop

Modified Ultra-Low Power NAND Based Multiplexer and Flip-Flop IJSTE - International Journal of Science Technology & Engineering Volume 2 Issue 06 December 2015 ISSN (online): 2349-784X Modified Ultra-Low Power NAND Based Multiplexer and Flip-Flop Amit Saraswat Chanpreet

More information

LOW POWER DOUBLE EDGE PULSE TRIGGERED FLIP FLOP DESIGN

LOW POWER DOUBLE EDGE PULSE TRIGGERED FLIP FLOP DESIGN INTERNATIONAL JOURNAL OF RESEARCH IN COMPUTER APPLICATIONS AND ROBOTICS ISSN 2320-7345 LOW POWER DOUBLE EDGE PULSE TRIGGERED FLIP FLOP DESIGN G.Swetha 1, T.Krishna Murthy 2 1 Student, SVEC (Autonomous),

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2014

ECEN620: Network Theory Broadband Circuit Design Fall 2014 ECEN620: Network Theory Broadband Circuit Design Fall 2014 Lecture 12: Divider Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements & Agenda Divider Basics Dynamic CMOS

More information

CHAPTER 6 DESIGN OF HIGH SPEED COUNTER USING PIPELINING

CHAPTER 6 DESIGN OF HIGH SPEED COUNTER USING PIPELINING 149 CHAPTER 6 DESIGN OF HIGH SPEED COUNTER USING PIPELINING 6.1 INTRODUCTION Counters act as important building blocks of fast arithmetic circuits used for frequency division, shifting operation, digital

More information

Dual Slope ADC Design from Power, Speed and Area Perspectives

Dual Slope ADC Design from Power, Speed and Area Perspectives Dual Slope ADC Design from Power, Speed and Area Perspectives Isaac Macwan, Xingguo Xiong, Lawrence Hmurcik Department of Electrical & Computer Engineering, University of Bridgeport, Bridgeport, CT 06604

More information

Novel Design of Static Dual-Edge Triggered (DET) Flip-Flops using Multiple C-Elements

Novel Design of Static Dual-Edge Triggered (DET) Flip-Flops using Multiple C-Elements Available online at: http://www.ijmtst.com/ncceeses2017.html Special Issue from 2 nd National Conference on Computing, Electrical, Electronics and Sustainable Energy Systems, 6 th 7 th July 2017, Rajahmundry,

More information

Design and Simulation of a Digital CMOS Synchronous 4-bit Up-Counter with Set and Reset

Design and Simulation of a Digital CMOS Synchronous 4-bit Up-Counter with Set and Reset Design and Simulation of a Digital CMOS Synchronous 4-bit Up-Counter with Set and Reset Course Number: ECE 533 Spring 2013 University of Tennessee Knoxville Instructor: Dr. Syed Kamrul Islam Prepared by

More information

Design and Analysis of Semi-Transparent Flip-Flops for high speed and Low Power Applications in Networks

Design and Analysis of Semi-Transparent Flip-Flops for high speed and Low Power Applications in Networks IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331 PP 58-64 www.iosrjournals.org Design and Analysis of Semi-Transparent Flip-Flops for high speed and

More information

Design of Pulse Triggered Flip Flop Using Conditional Pulse Enhancement Technique

Design of Pulse Triggered Flip Flop Using Conditional Pulse Enhancement Technique Design of Pulse Triggered Flip Flop Using Conditional Pulse Enhancement Technique NAVEENASINDHU P 1, MANIKANDAN N 2 1 M.E VLSI Design, TRP Engineering College (SRM GROUP), Tiruchirappalli 621 105, India,2,

More information

Scan. This is a sample of the first 15 pages of the Scan chapter.

Scan. This is a sample of the first 15 pages of the Scan chapter. Scan This is a sample of the first 15 pages of the Scan chapter. Note: The book is NOT Pinted in color. Objectives: This section provides: An overview of Scan An introduction to Test Sequences and Test

More information

Design of Low Power D-Flip Flop Using True Single Phase Clock (TSPC)

Design of Low Power D-Flip Flop Using True Single Phase Clock (TSPC) Design of Low Power D-Flip Flop Using True Single Phase Clock (TSPC) Swetha Kanchimani M.Tech (VLSI Design), Mrs.Syamala Kanchimani Associate Professor, Miss.Godugu Uma Madhuri Assistant Professor, ABSTRACT:

More information

A Low Power Delay Buffer Using Gated Driver Tree

A Low Power Delay Buffer Using Gated Driver Tree IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) ISSN: 2319 4200, ISBN No. : 2319 4197 Volume 1, Issue 4 (Nov. - Dec. 2012), PP 26-30 A Low Power Delay Buffer Using Gated Driver Tree Kokkilagadda

More information

Reduction of Area and Power of Shift Register Using Pulsed Latches

Reduction of Area and Power of Shift Register Using Pulsed Latches I J C T A, 9(13) 2016, pp. 6229-6238 International Science Press Reduction of Area and Power of Shift Register Using Pulsed Latches Md Asad Eqbal * & S. Yuvaraj ** ABSTRACT The timing element and clock

More information

Figure.1 Clock signal II. SYSTEM ANALYSIS

Figure.1 Clock signal II. SYSTEM ANALYSIS International Journal of Advances in Engineering, 2015, 1(4), 518-522 ISSN: 2394-9260 (printed version); ISSN: 2394-9279 (online version); url:http://www.ijae.in RESEARCH ARTICLE Multi bit Flip-Flop Grouping

More information

CPS311 Lecture: Sequential Circuits

CPS311 Lecture: Sequential Circuits CPS311 Lecture: Sequential Circuits Last revised August 4, 2015 Objectives: 1. To introduce asynchronous and synchronous flip-flops (latches and pulsetriggered, plus asynchronous preset/clear) 2. To introduce

More information

An FPGA Implementation of Shift Register Using Pulsed Latches

An FPGA Implementation of Shift Register Using Pulsed Latches An FPGA Implementation of Shift Register Using Pulsed Latches Shiny Panimalar.S, T.Nisha Priscilla, Associate Professor, Department of ECE, MAMCET, Tiruchirappalli, India PG Scholar, Department of ECE,

More information

Solution to Digital Logic )What is the magnitude comparator? Design a logic circuit for 4 bit magnitude comparator and explain it,

Solution to Digital Logic )What is the magnitude comparator? Design a logic circuit for 4 bit magnitude comparator and explain it, Solution to Digital Logic -2067 Solution to digital logic 2067 1.)What is the magnitude comparator? Design a logic circuit for 4 bit magnitude comparator and explain it, A Magnitude comparator is a combinational

More information

AN EFFICIENT DOUBLE EDGE TRIGGERING FLIP FLOP (MDETFF)

AN EFFICIENT DOUBLE EDGE TRIGGERING FLIP FLOP (MDETFF) AN EFFICIENT DOUBLE EDGE TRIGGERING FLIP FLOP (MDETFF) S.Santhoshkumar, L.Saranya 2 (UG Scholar, Dept.of.ECE, Christ the king Engineering college, Tamilnadu, India, santhosh29ece@gmail.com) 2 (Asst. Professor,

More information

FLIP-FLOPS AND RELATED DEVICES

FLIP-FLOPS AND RELATED DEVICES C H A P T E R 5 FLIP-FLOPS AND RELATED DEVICES OUTLINE 5- NAND Gate Latch 5-2 NOR Gate Latch 5-3 Troubleshooting Case Study 5-4 Digital Pulses 5-5 Clock Signals and Clocked Flip-Flops 5-6 Clocked S-R Flip-Flop

More information

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL Random Access Scan Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL ramamve@auburn.edu Term Paper for ELEC 7250 (Spring 2005) Abstract: Random Access

More information

Introduction. NAND Gate Latch. Digital Logic Design 1 FLIP-FLOP. Digital Logic Design 1

Introduction. NAND Gate Latch.  Digital Logic Design 1 FLIP-FLOP. Digital Logic Design 1 2007 Introduction BK TP.HCM FLIP-FLOP So far we have seen Combinational Logic The output(s) depends only on the current values of the input variables Here we will look at Sequential Logic circuits The

More information

Modeling and designing of Sense Amplifier based Flip-Flop using Cadence tool at 45nm

Modeling and designing of Sense Amplifier based Flip-Flop using Cadence tool at 45nm Modeling and designing of Sense Amplifier based Flip-Flop using Cadence tool at 45nm Akhilesh Tiwari1 and Shyam Akashe2 1Research Scholar, ITM University, Gwalior, India antrixman75@gmail.com 2Associate

More information

Memory elements. Topics. Memory element terminology. Variations in memory elements. Clock terminology. Memory element parameters. clock.

Memory elements. Topics. Memory element terminology. Variations in memory elements. Clock terminology. Memory element parameters. clock. Topics! Memory elements.! Basics of sequential machines. Memory elements! Stores a value as controlled by clock.! May have load signal, etc.! In CMOS, memory is created by:! capacitance (dynamic);! feedback

More information

WINTER 15 EXAMINATION Model Answer

WINTER 15 EXAMINATION Model Answer Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme. 2) The model answer and the answer written by candidate

More information

Implementation of New Low Glitch and Low Power dual Edge Triggered Flip-Flops Using Multiple C-Elements

Implementation of New Low Glitch and Low Power dual Edge Triggered Flip-Flops Using Multiple C-Elements Implementation of New Low Glitch and Low Power dual Edge Triggered Flip-Flops Using Multiple C-Elements I. Pavani Akhila Sree P.G Student VLSI Design (ECE), SVECW D. Murali Krishna Sr. Assistant Professor,

More information

A CHARGE RECYCLING THREE-PHASE DUAL-RAIL PRE-CHARGE LOGIC BASED FLIP-FLOP

A CHARGE RECYCLING THREE-PHASE DUAL-RAIL PRE-CHARGE LOGIC BASED FLIP-FLOP A CHARGE RECYCLING THREE-PHASE DUAL-RAIL PRE-CHARGE LOGIC BASED FLIP-FLOP Kothagudem Mounika, S. Rajendar, R. Naresh Department of Electronics and Communication Engineering, Vardhaman College of Engineering,

More information

Low Power D Flip Flop Using Static Pass Transistor Logic

Low Power D Flip Flop Using Static Pass Transistor Logic Low Power D Flip Flop Using Static Pass Transistor Logic 1 T.SURIYA PRABA, 2 R.MURUGASAMI PG SCHOLAR, NANDHA ENGINEERING COLLEGE, ERODE, INDIA Abstract: Minimizing power consumption is vitally important

More information

EEC 116 Fall 2011 Lab #5: Pipelined 32b Adder

EEC 116 Fall 2011 Lab #5: Pipelined 32b Adder EEC 116 Fall 2011 Lab #5: Pipelined 32b Adder Dept. of Electrical and Computer Engineering University of California, Davis Issued: November 2, 2011 Due: November 16, 2011, 4PM Reading: Rabaey Sections

More information

PHYSICS 5620 LAB 9 Basic Digital Circuits and Flip-Flops

PHYSICS 5620 LAB 9 Basic Digital Circuits and Flip-Flops PHYSICS 5620 LAB 9 Basic Digital Circuits and Flip-Flops Objective Construct a two-bit binary decoder. Study multiplexers (MUX) and demultiplexers (DEMUX). Construct an RS flip-flop from discrete gates.

More information

A NOVEL APPROACH TO ACHIEVE HIGH SPEED LOW-POWER HYBRID FLIP-FLOP

A NOVEL APPROACH TO ACHIEVE HIGH SPEED LOW-POWER HYBRID FLIP-FLOP A NOVEL APPROACH TO ACHIEVE HIGH SPEED LOW-POWER HYBRID FLIP-FLOP R.Ramya 1, P.Pavithra 2, T. Marutharaj 3 1, 2 PG Scholar, 3 Assistant Professor Theni Kammavar Sangam College of Technology, Theni, Tamil

More information

Fully Static and Compressed Topology Using Power Saving in Digital circuits for Reduced Transistor Flip flop

Fully Static and Compressed Topology Using Power Saving in Digital circuits for Reduced Transistor Flip flop Fully Static and Compressed Topology Using Power Saving in Digital circuits for Reduced Transistor Flip flop 1 S.Mounika & 2 P.Dhaneef Kumar 1 M.Tech, VLSIES, GVIC college, Madanapalli, mounikarani3333@gmail.com

More information

Current Mode Double Edge Triggered Flip Flop with Enable

Current Mode Double Edge Triggered Flip Flop with Enable Current Mode Double Edge Triggered Flip Flop with Enable Remil Anita.D 1, Jayasanthi.M 2 PG Student, Department of ECE, Karpagam College of Engineering, Coimbatore, India 1 Associate Professor, Department

More information

Design Low-Power and Area-Efficient Shift Register using SSASPL Pulsed Latch

Design Low-Power and Area-Efficient Shift Register using SSASPL Pulsed Latch Design Low-Power and Area-Efficient Shift Register using SSASPL Pulsed Latch 1 D. Sandhya Rani, 2 Maddana, 1 PG Scholar, Dept of VLSI System Design, Geetanjali college of engineering & technology, 2 Hod

More information

Chapter 2. Digital Circuits

Chapter 2. Digital Circuits Chapter 2. Digital Circuits Logic gates Flip-flops FF registers IC registers Data bus Encoders/Decoders Multiplexers Troubleshooting digital circuits Most contents of this chapter were covered in 88-217

More information

Computer Architecture and Organization

Computer Architecture and Organization A-1 Appendix A - Digital Logic Computer Architecture and Organization Miles Murdocca and Vincent Heuring Appendix A Digital Logic A-2 Appendix A - Digital Logic Chapter Contents A.1 Introduction A.2 Combinational

More information

Electrical & Computer Engineering ECE 491. Introduction to VLSI. Report 1

Electrical & Computer Engineering ECE 491. Introduction to VLSI. Report 1 Electrical & Computer Engineering ECE 491 Introduction to VLSI Report 1 Marva` Morrow INTRODUCTION Flip-flops are synchronous bistable devices (multivibrator) that operate as memory elements. A bistable

More information

Chapter 5: Synchronous Sequential Logic

Chapter 5: Synchronous Sequential Logic Chapter 5: Synchronous Sequential Logic NCNU_2016_DD_5_1 Digital systems may contain memory for storing information. Combinational circuits contains no memory elements the outputs depends only on the inputs

More information

SEQUENTIAL LOGIC. Satish Chandra Assistant Professor Department of Physics P P N College, Kanpur

SEQUENTIAL LOGIC. Satish Chandra Assistant Professor Department of Physics P P N College, Kanpur SEQUENTIAL LOGIC Satish Chandra Assistant Professor Department of Physics P P N College, Kanpur www.satish0402.weebly.com OSCILLATORS Oscillators is an amplifier which derives its input from output. Oscillators

More information

DIFFERENTIAL CONDITIONAL CAPTURING FLIP-FLOP TECHNIQUE USED FOR LOW POWER CONSUMPTION IN CLOCKING SCHEME

DIFFERENTIAL CONDITIONAL CAPTURING FLIP-FLOP TECHNIQUE USED FOR LOW POWER CONSUMPTION IN CLOCKING SCHEME DIFFERENTIAL CONDITIONAL CAPTURING FLIP-FLOP TECHNIQUE USED FOR LOW POWER CONSUMPTION IN CLOCKING SCHEME Mr.N.Vetriselvan, Assistant Professor, Dhirajlal Gandhi College of Technology Mr.P.N.Palanisamy,

More information

PICOSECOND TIMING USING FAST ANALOG SAMPLING

PICOSECOND TIMING USING FAST ANALOG SAMPLING PICOSECOND TIMING USING FAST ANALOG SAMPLING H. Frisch, J-F Genat, F. Tang, EFI Chicago, Tuesday 6 th Nov 2007 INTRODUCTION In the context of picosecond timing, analog detector pulse sampling in the 10

More information

Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow

Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow Bradley R. Quinton*, Mark R. Greenstreet, Steven J.E. Wilton*, *Dept. of Electrical and Computer Engineering, Dept.

More information

Chapter 5 Synchronous Sequential Logic

Chapter 5 Synchronous Sequential Logic Chapter 5 Synchronous Sequential Logic Chih-Tsun Huang ( 黃稚存 ) http://nthucad.cs.nthu.edu.tw/~cthuang/ Department of Computer Science National Tsing Hua University Outline Introduction Storage Elements:

More information

Low Power Different Sense Amplifier Based Flip-flop Configurations implemented using GDI Technique

Low Power Different Sense Amplifier Based Flip-flop Configurations implemented using GDI Technique International Journal of Scientific and Research Publications, Volume 2, Issue 4, April 2012 1 Low Power Different Sense Amplifier Based Flip-flop Configurations implemented using GDI Technique Priyanka

More information

REDUCING DYNAMIC POWER BY PULSED LATCH AND MULTIPLE PULSE GENERATOR IN CLOCKTREE

REDUCING DYNAMIC POWER BY PULSED LATCH AND MULTIPLE PULSE GENERATOR IN CLOCKTREE Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 3, Issue. 5, May 2014, pg.210

More information

A FOUR GAIN READOUT INTEGRATED CIRCUIT : FRIC 96_1

A FOUR GAIN READOUT INTEGRATED CIRCUIT : FRIC 96_1 A FOUR GAIN READOUT INTEGRATED CIRCUIT : FRIC 96_1 J. M. Bussat 1, G. Bohner 1, O. Rossetto 2, D. Dzahini 2, J. Lecoq 1, J. Pouxe 2, J. Colas 1, (1) L. A. P. P. Annecy-le-vieux, France (2) I. S. N. Grenoble,

More information

Vignana Bharathi Institute of Technology UNIT 4 DLD

Vignana Bharathi Institute of Technology UNIT 4 DLD DLD UNIT IV Synchronous Sequential Circuits, Latches, Flip-flops, analysis of clocked sequential circuits, Registers, Shift registers, Ripple counters, Synchronous counters, other counters. Asynchronous

More information

Use of Low Power DET Address Pointer Circuit for FIFO Memory Design

Use of Low Power DET Address Pointer Circuit for FIFO Memory Design International Journal of Education and Science Research Review Use of Low Power DET Address Pointer Circuit for FIFO Memory Design Harpreet M.Tech Scholar PPIMT Hisar Supriya Bhutani Assistant Professor

More information

Power Efficient Design of Sequential Circuits using OBSC and RTPG Integration

Power Efficient Design of Sequential Circuits using OBSC and RTPG Integration Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 2, Issue. 9, September 2013,

More information

I. INTRODUCTION. Figure 1: Explicit Data Close to Output

I. INTRODUCTION. Figure 1: Explicit Data Close to Output Low Power Shift Register Design Based on a Signal Feed Through Scheme 1 Mr. G Ayappan and 2 Ms.P Vinothini, 1 Assistant Professor (Senior Grade), 2 PG scholar, 1,2 Department of Electronics and Communication,

More information