An Architecture for MPEG Motion Estimation

Size: px
Start display at page:

Download "An Architecture for MPEG Motion Estimation"

Transcription

1 An Architecture for MPEG Motion Estimation Zandonai, Diogo; Carro Luigi; Bampi, Sergio; Suzim, Altamiro {diogo, carro, bampi, Abstract This paper presents an architecture developed to perform the motion estimation into the MPEG algorithm. Among the different tasks evolved in MPEG algorithm the motion estimation is the one that requires more computing power. The proposed architecture consists on parallel processors dedicated to compute the difference between two numbers (that represent the pixel s luminance). These processors can compute the difference and accumulation in just one clock cycle. The I/O structure is intelligently designed to guarantee occupation of the processors and reduce the memory bandwidth to just 8 I/O bits. The implementation was initially described in the VHDL language, RTL level. The clock speed reached is about 8MHz due to FPGA limitations. The architecture was designed to allow its replication and parallelism until reaching the necessary performance. Resumo - Este artigo apresenta uma arquitetura desenvolvida para realizar a estimação de movimento dentro do algoritmo de compactação de vídeo MPEG. Dentre as diferentes tarefas envolvidas neste algoritmo a estimação de movimento é a que requer maior esforço computacional. A arquitetura proposta consiste de processadores dedicados operando em paralelo para realizar a diferença entre dois números que representam a luminância dos pixels. Estes processadores implementam o cálculo da diferença e acumulação do resultado em apenas um ciclo de clock. A estrutura de I/O é inteligentemente projetada para garantir a ocupação no tempo dos processadores e reduzir a largura de banda da memória para apenas 8 bits. A implementação foi inicialmente descrita em linguagem VHDL nível RTL. A freqüência de clock alcançada é da ordem de 8MHz devido às limitações do FPGA. A arquitetura foi pensada para permitir sua replicação e paralelismo até se alcançar a performance necessária. 1. Introduction There are in the market today a lot of general purpose, RISC, CISC and DSP processors that are able to perform most of the usual computational tasks like text editors, electronic planning, filtering and others. These processors are implemented in silicon using standard cell or full custom layouts. These kind of technology are very faster than a FPGA implementation and allow to reach a clock frequency from 200MHz to 1GHz. The processors market is extremely competitive in terms of physical technology, but when discussing the ideal architecture there is no consensus. There is a number of tasks that require a large computational power and can t be performed by any kind of processor cited above, even those running at 1GHz. Motion estimation is one of these tasks, so we used a parallel and optimized architecture to compensate the deficiencies in terms of technology. The problem attacked is the video compaction algorithm MPEG [PEN93]. This algorithm consists of three essential blocks that are: ME Motion Estimation; DCT Discrete Cosine Transform; VLC Variable Length Codification; Table 1 shows the computational power required to perform the motion estimation for some usual standards. Standard Video Conference Blocks (8x8) Blocks (16x16) GOPS (8x8) Table 1 - Computational Power for ME GOPS (16x16) ,95 7,31 NTSC ,42 50,37 HDTV ,73 276,77 That s clear in this table that the motion estimation is a critical task into the MPEG algorithm and needs something like 300GOPS (giga operations per second) to be performed in real time. There are high performance DSP processors that can reach until 200GOPS taxes in ideal conditions, but the taxes required for the motion estimation are even greater what justify the development of a dedicated architecture to implement the motion estimation. The paper initially presents the problem of motion estimation and the limitations that the algorithm imposes to the architecture. Chapter 3 explains the architecture, memory organization and dataflow structure. Chapter 4 shows the double memory strategy and details of the VHDL description of the Processing and Comparing unities. The last section presents the results and conclusions

2 associating the obtained clock frequencies to physical aspects of the architecture. 2. Problem Definition The MPEG algorithm gives to the decoder the freedom of choice in terms of size of Search and Reference blocks. The decoder is also free to implement any kind of algorithm to decide what block better matches with the reference. So come to define the way we decided to attack the problem. We considered the motion estimation applied to 8x8 pixels Reference blocks searching into the interval [-4,3] pixels. This results in a search window of 15x15 pixels. During the block matching, what means to find the block that better closes with the Reference block, most pixels will be used multiple times. So we can hope to reuse the data read from memory trying the improvement of the computational power and reduction of the memory bandwidth necessary to data input. For each Search block 15x15 pixels there are 64 candidates to motion vector. For each candidate will be computed 64 partial distortions, so a total of add/sub must be computed to each motion vector estimated. The architecture developed is able to perform 8 distortions in parallel what means 512 processing cycles to each motion vector computed. Reference Block Buffer 3. Proposed Architecture The proposed architecture is inspirited in [BHA99]. The figure 1 shows the proposed architecture that uses an array of 8 processors working in parallel. Each element of the array is called processing unity or simply processor. This array is able to compute 8 distortion values in parallel these 8 values refer to the distortion evaluated for blocks displaced one row to each other. When the computation of the 8 initial values is finished, the second line is taken and 8 new values will be computed. To each candidate to motion vector 64 distortions are associated. These distortions will be accumulated in to compose the total distortion. The candidate to be motion vector that presents the lowest total distortion will be considered the motion vector. The memory address of the first pixel of this block will be the output information of this hardware. With this information a decoding algorithm can understand where to find this block. The parameter used in this hardware to determine the block that better fits with the reference is a derivation of the MAE (mean absolute error). Once the distortions are computed considering just the absolute difference between two pixels the average value isn t calculated, instead the values are integrated Search Window Buffer R S1 S2 R0 R1 R7 Dref Din Dref Din Dref Din Comparing Unity Figure 1 Architecture for motion estimation

3 to compose the total absolute error. In practical the block that presents the lowest average distortion will present the lowest total distortion too, so we need less computational effort aborting the average calculation Memory Once the search window width is twice the reference s we can improve performance using a dual port memory to the search window. So the search window will be divided in the left and right sides. The port S1 receives data from the left side while the port S2 receives data from the right. The data from both ports are distributed to all processors. Each processor has a multiplexer at the input to allow choosing the port where data come from. The reference memory is an 8x8 block, simple access. The data read from port R passes at each clock cycle through the registers R0, R1,, R7. This allows each processor to use at each clock cycle a different data, even the reference memory been simple access. Defining memory implies same limitations in architecture. So come to see how the operations were sequenced to allow its execution at the moment the operands are available Data Flow The table 2 shows in details the data flow to the architecture proposed in figure 1. The pixels from Reference and Search memory are processed sequentially from the left to the right. Eight blocks are processed in parallel. To a right vertical displacement I = 0,1,2 7, P0 computes D(i,0), P1 D(i,1),, P7 D(i,7), so each processor is computing a window displaced one row to the right relation to the anterior. The pixels from the reference block are displaced through the processors using serial registers. Once a pixel is available to P0 at time t it will be available to P1 at time t+1. So that s necessary 8 cycles to the first data reach the P7 processor, so just after the 8 th clock cycle the architecture will be working fully in parallel. At time t=0 P0 computes acc 0 = r 0,0 s 0,0. At this moment data are not available to the other processors that are standby. At time t=1 P0 computes acc 0 = acc 0 + r 0,1 - s 0,1. The pixel r 0,0 is now available to P1 which computes acc 1 = r 0,0 - s 0,1. Realize that P0 and P1 are using the same data from Search memory, so only the reference data goes through the registers P0, P2,, P7. Cycle Memory Processors Time R S1 S2 P0 P1 P7 0 R(0,0) S(0,0) R(0,0) - S(0,0) 1 R(0,1) S(0,1) R(0,1) - S(0,1) R(0,0) - S(0,1) 2 R(0,2) S(0,2) R(0,2) - S(0,2) R(0,1) - S(0,2) R(0,7) S(0,7) R(0,7) - S(0,7) R(0,6) - S(0,7) R(0,0) - S(0,7) 8 R(1,0) S(1,0) S(0,8) R(1,0) - S(1,0) R(0,7) - S(0,8) R(0,1) - S(0,8) 9 R(1,1) S(1,1) S(0,9) R(1,1) - S(1,1) R(1,0) - S(1,1) R(0,2) - S(0,9) R(1,6) S(1,6) S(0,14) R(1,6) - S(1,6) R(1,5) - S(1,6) R(0,7) - S(0,14) 15 R(1,7) S(1,7) S(0,14) R(1,7) - S(1,7) R(1,6) - S(1,7) R(1,0) - S(1,7) R(7,0) S(7,0) S(6,8) R(7,0) - S(7,0) R(6,7) - S(6,8) R(6,0) - S(6,7) 57 R(7,1) S(7,1) S(6,9) R(7,1) - S(7,1) R(7,0) - S(7,1) R(6,1) - S(6,8) R(7,6) S(7,6) S(6,13) R(7,6) - S(7,6) R(7,5) - S(7,6) R(6,7) - S(6,14) 63 R(7,7) S(7,7) S(6,14) R(7,7) - S(7,7) R(7,6) - S(7,7) R(7,0) - S(7,7) 64 S(7,8) R(7,7) - S(7,8) R(7,1) - S(7,8) 65 S(7,9) R(7,2) - S(7,9) S(7,14) R(7,7) - S(7,14) Table 2 Data Flow

4 At time t=7 r 0,0 reaches P7, the last processor, which computes acc7 = r 0,0 s 0,7. Since this moment forward the processors start to be fully used. At time t=8 the three memory ports are active. The port R receives the first pixel of the second line of the reference block, S1 receives the first pixel of the second line of the left side of the search memory and S2 receives the first pixel of the first line of the right half of the search memory. At this moment P0 computes acc 0 = acc 0 + r 1,0 - s 1,0 starting the computation of the second line while P1 computes acc 1 = acc 1 + r 0,7 s 0,8, this mean, each processor from P1 to P7 will compute in this cycle acc j = acc j + r 0,8-j s 0,8, where j = 1, 2,..., 7. At this cycle the inner multiplexer of the processing unity will change only to P7 allowing data from S2 to be the operand of P7, in the following cycle the P6 multiplexer will change and so on. The control logic must manage the signals to control the multiplexers to each processor. At time t=63 P0 computes the last pixel of the reference block. The processing follow until t=71 when the last data reaches P7 which finishes the computation making acc 7 = acc 7 + r 7,7 - s 7,15. At cycle t=64 P1 ends it s computation and the comparing unity, that appears at the button of the figure 1 can start the comparing of D(0,0) with D(0,1). The comparing unity needs only one subtractor once the distortion values are available cycle after cycle and can be processed serially. The control machine must analyze the results of the comparing unity and decide what will be the motion vector. The processing to the next conjunct of distortions can start at t=64 once that at t=63 the processor P0 ends the calculation of D(0,0). It s possible to realize that the computing of the distortions D(1,0), D(1,1),..., D(1,7) follows the same sequence of operations above described, but the time to fill the registers Ri is in pipeline with the comparing of the distortions D(0,0), D(0,1),..., D(0,7). The operations order is represented in Figure 2 through gray tones. Three cycles of 64 operations are represented; the first cycle starts at line 0, the second at line 1 and so on. These cycles happen serially in time and are started at time represented at left side of the figure. The darker windows at figure 2 start the computation after the brighter such a way that the computation of the distortions to each window 8x8 from left to right is later one cycle of clock relation to the one at left. T=0 T=64 T= T=448 Figura2 Gray tones representation of the operations sequence 4. VHDL Description The VHDL description is RTL level. The basic components are the Memory blocks, the Comparing unity and the Processing unities. The circuit was synthesized for the Altera s device EPF10K20RC208-4 from family FLEX10K20. We have chosen an FPGA implementation just for the sake of prototyping. Of course, a Standard Cell implementation would give even better result. Interestingly the performance achieve using FPGAs is enough for the target application Memory The memories were implemented using the Altera mega functions lpm_ram_dq. The synthesis device has 6 memory blocks each one with 256 bytes. The data used to motion estimation are the luminance pixels that are 8 bits (1 byte) such way that this memory has the ideal width. The 6 memory bands were used, but any band was fully used and there are holes in every band. The 4 memories used to implement the halves of search window were used ½ while the two memories used to the reference block were used ¼. The circuit implementation has double of the necessary memory. The memory duplication allows the circuit to implement the reading of the blocks S11, S12 e R1 while the blocks S21, S22 and R2 are been filled for an external circuit and so on. The memory was organized with the following blocks: T=512+8

5 R1 Reference Memory 1; R2 Reference Memory 2; S11 Search Memory 1 Right Half; S12 Search Memory 2 Right Half; S21 Search Memory 1 Left Half; S22 Search Memory 2 Left Half; The control machine generates the memory addressing. To do that the control machine uses a counter incremented at each clock cycle. The Reference memories are 6bits address while Search memories are 7bits. So the counter was implemented with 7bits but the reference blocks receive only the 6 less significant bits, for an example: when Sadd=63 Radd=63 and when Sadd=64 Radd=0. An adder generates the address to the right side of the search memory adding the constant 8 to the left side address. The write enable (WE) signal is the same for all the memory blocks but the right side memories receive this signal inverted. Every 64 cycles this signal changes its state. While the blocks 1 receive WE= 1 the blocks 2 receive WE= 0 cause of the inverter and the situation is inverted each 64 cycles Datapath Block The datapath block of the motion estimator corresponds to the VHDL description of the architecture in figure 1. The aim blocks are the Processing unties and the Comparing unity. The inner blocks of the operative block were made using same components offered for the synthesis tool (Altera) like memory and adders. The memories are asynchronous, so to implement a writing the WE signal must be up only at the time the address and data are valid, to the reading there is a combinational delay after the address is valid. The architecture at all gets a few I/O pins what was an initial requirement. The I/O functions are just the data input, motion vector output and a few control signals. The processing unity must be optimized because it is the basic unity and it is just what will be replied to implement more powerful circuits. The architecture implemented is proper to make the operation acc=acc+ R(i,j)-S(i,j) using an unique combinational logic. It was shown that this delay is not in the critical path of the circuit. The table 3 shows that this delay is the same order of the delay caused for the Comparing unity. If the reduction of the processing unity delay were directly associated with the circuit maximum delay it would be valuable to insert a register between the two add/sub, it means, to use a pipeline technique. In this project many adders were used to implement subtractions of two binary operands that are represented in two complement. To this subtraction it s necessary just invert one operand and set the carry signal to 1. The architecture of the Processing unity is represented in figure 3. This unity gets one 8bit adder, one 14bit adder, one 14bit register, logical gates and multiplexers. S1 S R 8 dif dif(8) + 14 acc Ri Figure 4 Processing Unity R i+1 The first adder computes the difference R(i,j)-S(i,j). If this difference is negative it will be subtracted from the adder value and if it s positive it will be added, such a way that always the difference s modulo will be taken once (-Dif) = +Dif. The signal bit of the first add/sub result was used to control the XOR gates (controlled inverter), this signal also is the carry signal of the second adder. The accumulator can be initialized, the reset must occur at the beginning of each distortion computing. The second adder and the accumulator was implemented in 14 bits to support a difference of Dif=256 accumulated by 64 pixels.

6 The Comparing unity was implemented like shown in figure 4. The comparing unity gets a 14bits adder and a 14bits register, inverters and multiplexers. Their function is, at each clock cycle, to compare acc i+1 with acc i and keep the lower value. Every time this unity changes the value of Lower (when acc i+1 > acc i ) the control machine must actualize the MV register, which keep the motion vector, with the address of the 8x8 block that is been computed acc 0 1 Low 14 s 0 S(14) reset Figure 5 Comparing Unity 4.3. Control Machine There is some control signals that happen periodically every 8 clock cycles, others must be 1 only at the 8 initial and/or final cycles To resolve this problem was implemented a state machine with 8 states and an auxiliary counter that signalizes macrostates. This counter is 4bits and counts from 0 to 15. This counter is incremented by a clock that is 1/8 of the clock signal of the circuit such way that the value of this counter represents a superstate of the control machine. Same signals are controlled with period 8 for the state machine and others are controlled with period 8*16=128 for the counter. 5. Results and Conclusions The synthesis results are shown at table 3. The memories don t use logical gates once it s addressing is made for the control unity. The operative part represents 90,8% of the total area. Blocks LCs Mem Bits Fmáx Memory R Memory S UP 55-27,02 Comparing 41-26,73 Operative Control 98-69,44 Total ,32 Table 3 Area and frequency per block The circuit at all uses 62% of the device s logical gates and 41% of the available memory. This shows that this device doesn t supports two of these circuits. The clock frequency obtained for the blocks Processing unity and Comparing unity are into what was hope for this technology and device. The frequency of the project at all is below the expectative and it s attributed to the routing difficulties that the device present when improving the area usage. The performance reached is enough to real time motion estimation for usual definition video standards but for better definitions it would be necessary to improve performance. A Standard Cell layout or a greater and faster device would be fast enough such that the real time task could be performed. 6. References [BHA99] V.Bhaskaran and K. Konstantinides, Image and video compression standards - algorithms and architectures, Kluwer academic publishers, [PEN93] W. Pennebaker and J. Mitchell, JPEG still image data compression standard, Van Nostrand Reinhold, [PIT00] I. Pitas, Digital Image Processing Algorithms and Applications, Wiley-Interscience Press, [CHA97] W. Pennebaker, J. Mitchell, Chad E. Fogg and Didier J. LeGall, MPEG video compression standard, Chapman & Hall, [AGO99] L. V. Agostini, Estudo de padrões de compressão de imagens para aplicações VLSI, Trabalho individual, Programa de pós-graduação em computação, Universidade Federal do Rio Grande do Sul, Jan [TRA00] Trac D. Tran, The BinDCT: fast multiplierless approximation of the DCT, IEEE Signal processing letters, vol. 7, no. 6, pp , jun [BJO94] G. Bjoentegaard, MPEGII coding schemes for low delay requirements, ISCAS 94 Tutorials, May [MOR94] Morris John, MPEGII The main profile, ISCAS 94 Tutorials, pp , May 1994.

Microprocessor Design

Microprocessor Design Microprocessor Design Principles and Practices With VHDL Enoch O. Hwang Brooks / Cole 2004 To my wife and children Windy, Jonathan and Michelle Contents 1. Designing a Microprocessor... 2 1.1 Overview

More information

A video signal processor for motioncompensated field-rate upconversion in consumer television

A video signal processor for motioncompensated field-rate upconversion in consumer television A video signal processor for motioncompensated field-rate upconversion in consumer television B. De Loore, P. Lippens, P. Eeckhout, H. Huijgen, A. Löning, B. McSweeney, M. Verstraelen, B. Pham, G. de Haan,

More information

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath Objectives Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath In the previous chapters we have studied how to develop a specification from a given application, and

More information

Hardware Implementation for the HEVC Fractional Motion Estimation Targeting Real-Time and Low-Energy

Hardware Implementation for the HEVC Fractional Motion Estimation Targeting Real-Time and Low-Energy Hardware Implementation for the HEVC Fractional Motion Estimation Targeting Real-Time and Low-Energy Vladimir Afonso 1-2, Henrique Maich 1, Luan Audibert 1, Bruno Zatt 1, Marcelo Porto 1, Luciano Agostini

More information

ALONG with the progressive device scaling, semiconductor

ALONG with the progressive device scaling, semiconductor IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 57, NO. 4, APRIL 2010 285 LUT Optimization for Memory-Based Computation Pramod Kumar Meher, Senior Member, IEEE Abstract Recently, we

More information

An Efficient Reduction of Area in Multistandard Transform Core

An Efficient Reduction of Area in Multistandard Transform Core An Efficient Reduction of Area in Multistandard Transform Core A. Shanmuga Priya 1, Dr. T. K. Shanthi 2 1 PG scholar, Applied Electronics, Department of ECE, 2 Assosiate Professor, Department of ECE Thanthai

More information

RESEARCH AND DEVELOPMENT LOW-COST BOARD FOR EXPERIMENTAL VERIFICATION OF VIDEO PROCESSING ALGORITHMS USING FPGA IMPLEMENTATION

RESEARCH AND DEVELOPMENT LOW-COST BOARD FOR EXPERIMENTAL VERIFICATION OF VIDEO PROCESSING ALGORITHMS USING FPGA IMPLEMENTATION RESEARCH AND DEVELOPMENT LOW-COST BOARD FOR EXPERIMENTAL VERIFICATION OF VIDEO PROCESSING ALGORITHMS USING FPGA IMPLEMENTATION Filipe DIAS, Igor OLIVEIRA, Flávia FREITAS, Francisco GARCIA and Paulo CUNHA

More information

Implementation of Area Efficient Memory-Based FIR Digital Filter Using LUT-Multiplier

Implementation of Area Efficient Memory-Based FIR Digital Filter Using LUT-Multiplier Implementation of Area Efficient Memory-Based FIR Digital Filter Using LUT-Multiplier K.Purnima, S.AdiLakshmi, M.Jyothi Department of ECE, K L University Vijayawada, INDIA Abstract Memory based structures

More information

International Journal of Engineering Trends and Technology (IJETT) - Volume4 Issue8- August 2013

International Journal of Engineering Trends and Technology (IJETT) - Volume4 Issue8- August 2013 International Journal of Engineering Trends and Technology (IJETT) - Volume4 Issue8- August 2013 Design and Implementation of an Enhanced LUT System in Security Based Computation dama.dhanalakshmi 1, K.Annapurna

More information

Optimization of memory based multiplication for LUT

Optimization of memory based multiplication for LUT Optimization of memory based multiplication for LUT V. Hari Krishna *, N.C Pant ** * Guru Nanak Institute of Technology, E.C.E Dept., Hyderabad, India ** Guru Nanak Institute of Technology, Prof & Head,

More information

Memory efficient Distributed architecture LUT Design using Unified Architecture

Memory efficient Distributed architecture LUT Design using Unified Architecture Research Article Memory efficient Distributed architecture LUT Design using Unified Architecture Authors: 1 S.M.L.V.K. Durga, 2 N.S. Govind. Address for Correspondence: 1 M.Tech II Year, ECE Dept., ASR

More information

Motion Compensation Hardware Accelerator Architecture for H.264/AVC

Motion Compensation Hardware Accelerator Architecture for H.264/AVC Motion Compensation Hardware Accelerator Architecture for H.264/AVC Bruno Zatt 1, Valter Ferreira 1, Luciano Agostini 2, Flávio R. Wagner 1, Altamiro Susin 3, and Sergio Bampi 1 1 Informatics Institute

More information

Laboratory Exercise 7

Laboratory Exercise 7 Laboratory Exercise 7 Finite State Machines This is an exercise in using finite state machines. Part I We wish to implement a finite state machine (FSM) that recognizes two specific sequences of applied

More information

A Fast Constant Coefficient Multiplier for the XC6200

A Fast Constant Coefficient Multiplier for the XC6200 A Fast Constant Coefficient Multiplier for the XC6200 Tom Kean, Bernie New and Bob Slous Xilinx Inc. Abstract. We discuss the design of a high performance constant coefficient multiplier on the Xilinx

More information

A Novel Macroblock-Level Filtering Upsampling Architecture for H.264/AVC Scalable Extension

A Novel Macroblock-Level Filtering Upsampling Architecture for H.264/AVC Scalable Extension 05-Silva-AF:05-Silva-AF 8/19/11 6:18 AM Page 43 A Novel Macroblock-Level Filtering Upsampling Architecture for H.264/AVC Scalable Extension T. L. da Silva 1, L. A. S. Cruz 2, and L. V. Agostini 3 1 Telecommunications

More information

LUT Optimization for Memory Based Computation using Modified OMS Technique

LUT Optimization for Memory Based Computation using Modified OMS Technique LUT Optimization for Memory Based Computation using Modified OMS Technique Indrajit Shankar Acharya & Ruhan Bevi Dept. of ECE, SRM University, Chennai, India E-mail : indrajitac123@gmail.com, ruhanmady@yahoo.co.in

More information

Implementation of Memory Based Multiplication Using Micro wind Software

Implementation of Memory Based Multiplication Using Micro wind Software Implementation of Memory Based Multiplication Using Micro wind Software U.Palani 1, M.Sujith 2,P.Pugazhendiran 3 1 IFET College of Engineering, Department of Information Technology, Villupuram 2,3 IFET

More information

Design of Memory Based Implementation Using LUT Multiplier

Design of Memory Based Implementation Using LUT Multiplier Design of Memory Based Implementation Using LUT Multiplier Charan Kumar.k 1, S. Vikrama Narasimha Reddy 2, Neelima Koppala 3 1,2 M.Tech(VLSI) Student, 3 Assistant Professor, ECE Department, Sree Vidyanikethan

More information

A VLSI Architecture for Variable Block Size Video Motion Estimation

A VLSI Architecture for Variable Block Size Video Motion Estimation A VLSI Architecture for Variable Block Size Video Motion Estimation Yap, S. Y., & McCanny, J. (2004). A VLSI Architecture for Variable Block Size Video Motion Estimation. IEEE Transactions on Circuits

More information

A Low Power Delay Buffer Using Gated Driver Tree

A Low Power Delay Buffer Using Gated Driver Tree IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) ISSN: 2319 4200, ISBN No. : 2319 4197 Volume 1, Issue 4 (Nov. - Dec. 2012), PP 26-30 A Low Power Delay Buffer Using Gated Driver Tree Kokkilagadda

More information

DIGITAL SYSTEM DESIGN UNIT I (2 MARKS)

DIGITAL SYSTEM DESIGN UNIT I (2 MARKS) DIGITAL SYSTEM DESIGN UNIT I (2 MARKS) 1. Convert Binary number (111101100) 2 to Octal equivalent. 2. Convert Binary (1101100010011011) 2 to Hexadecimal equivalent. 3. Simplify the following Boolean function

More information

Layout Decompression Chip for Maskless Lithography

Layout Decompression Chip for Maskless Lithography Layout Decompression Chip for Maskless Lithography Borivoje Nikolić, Ben Wild, Vito Dai, Yashesh Shroff, Benjamin Warlick, Avideh Zakhor, William G. Oldham Department of Electrical Engineering and Computer

More information

Keywords Xilinx ISE, LUT, FIR System, SDR, Spectrum- Sensing, FPGA, Memory- optimization, A-OMS LUT.

Keywords Xilinx ISE, LUT, FIR System, SDR, Spectrum- Sensing, FPGA, Memory- optimization, A-OMS LUT. An Advanced and Area Optimized L.U.T Design using A.P.C. and O.M.S K.Sreelakshmi, A.Srinivasa Rao Department of Electronics and Communication Engineering Nimra College of Engineering and Technology Krishna

More information

Clock Gating Aware Low Power ALU Design and Implementation on FPGA

Clock Gating Aware Low Power ALU Design and Implementation on FPGA Clock Gating Aware Low ALU Design and Implementation on FPGA Bishwajeet Pandey and Manisha Pattanaik Abstract This paper deals with the design and implementation of a Clock Gating Aware Low Arithmetic

More information

VLSI IEEE Projects Titles LeMeniz Infotech

VLSI IEEE Projects Titles LeMeniz Infotech VLSI IEEE Projects Titles -2019 LeMeniz Infotech 36, 100 feet Road, Natesan Nagar(Near Indira Gandhi Statue and Next to Fish-O-Fish), Pondicherry-605 005 Web : www.ieeemaster.com / www.lemenizinfotech.com

More information

A Review on Hybrid Adders in VHDL Payal V. Mawale #1, Swapnil Jain *2, Pravin W. Jaronde #3

A Review on Hybrid Adders in VHDL Payal V. Mawale #1, Swapnil Jain *2, Pravin W. Jaronde #3 A Review on Hybrid Adders in VHDL Payal V. Mawale #1, Swapnil Jain *2, Pravin W. Jaronde #3 #1 Electronics & Communication, RTMNU. *2 Electronics & Telecommunication, RTMNU. #3 Electronics & Telecommunication,

More information

ELEN Electronique numérique

ELEN Electronique numérique ELEN0040 - Electronique numérique Patricia ROUSSEAUX Année académique 2014-2015 CHAPITRE 6 Registers and Counters ELEN0040 6-277 Design of a modulo-8 binary counter using JK Flip-flops 3 bits are required

More information

NH 67, Karur Trichy Highways, Puliyur C.F, Karur District UNIT-III SEQUENTIAL CIRCUITS

NH 67, Karur Trichy Highways, Puliyur C.F, Karur District UNIT-III SEQUENTIAL CIRCUITS NH 67, Karur Trichy Highways, Puliyur C.F, 639 114 Karur District DEPARTMENT OF ELETRONICS AND COMMUNICATION ENGINEERING COURSE NOTES SUBJECT: DIGITAL ELECTRONICS CLASS: II YEAR ECE SUBJECT CODE: EC2203

More information

Logic Design II (17.342) Spring Lecture Outline

Logic Design II (17.342) Spring Lecture Outline Logic Design II (17.342) Spring 2012 Lecture Outline Class # 03 February 09, 2012 Dohn Bowden 1 Today s Lecture Registers and Counters Chapter 12 2 Course Admin 3 Administrative Admin for tonight Syllabus

More information

Design and Implementation of Partial Reconfigurable Fir Filter Using Distributed Arithmetic Architecture

Design and Implementation of Partial Reconfigurable Fir Filter Using Distributed Arithmetic Architecture Design and Implementation of Partial Reconfigurable Fir Filter Using Distributed Arithmetic Architecture Vinaykumar Bagali 1, Deepika S Karishankari 2 1 Asst Prof, Electrical and Electronics Dept, BLDEA

More information

A Novel Architecture of LUT Design Optimization for DSP Applications

A Novel Architecture of LUT Design Optimization for DSP Applications A Novel Architecture of LUT Design Optimization for DSP Applications O. Anjaneyulu 1, Parsha Srikanth 2 & C. V. Krishna Reddy 3 1&2 KITS, Warangal, 3 NNRESGI, Hyderabad E-mail : anjaneyulu_o@yahoo.com

More information

Efficient Method for Look-Up-Table Design in Memory Based Fir Filters

Efficient Method for Look-Up-Table Design in Memory Based Fir Filters International Journal of Computer Applications (975 8887) Volume 78 No.6, September Efficient Method for Look-Up-Table Design in Memory Based Fir Filters Md.Zameeruddin M.Tech, DECS, Dept. of ECE, Vardhaman

More information

FPGA Based Implementation of Convolutional Encoder- Viterbi Decoder Using Multiple Booting Technique

FPGA Based Implementation of Convolutional Encoder- Viterbi Decoder Using Multiple Booting Technique FPGA Based Implementation of Convolutional Encoder- Viterbi Decoder Using Multiple Booting Technique Dr. Dhafir A. Alneema (1) Yahya Taher Qassim (2) Lecturer Assistant Lecturer Computer Engineering Dept.

More information

VLSI Design: 3) Explain the various MOSFET Capacitances & their significance. 4) Draw a CMOS Inverter. Explain its transfer characteristics

VLSI Design: 3) Explain the various MOSFET Capacitances & their significance. 4) Draw a CMOS Inverter. Explain its transfer characteristics 1) Explain why & how a MOSFET works VLSI Design: 2) Draw Vds-Ids curve for a MOSFET. Now, show how this curve changes (a) with increasing Vgs (b) with increasing transistor width (c) considering Channel

More information

ENGG2410: Digital Design Lab 5: Modular Designs and Hierarchy Using VHDL

ENGG2410: Digital Design Lab 5: Modular Designs and Hierarchy Using VHDL ENGG2410: Digital Design Lab 5: Modular Designs and Hierarchy Using VHDL School of Engineering, University of Guelph Fall 2017 1 Objectives: Start Date: Week #7 2017 Report Due Date: Week #8 2017, in the

More information

FPGA Design. Part I - Hardware Components. Thomas Lenzi

FPGA Design. Part I - Hardware Components. Thomas Lenzi FPGA Design Part I - Hardware Components Thomas Lenzi Approach We believe that having knowledge of the hardware components that compose an FPGA allow for better firmware design. Being able to visualise

More information

Designing for High Speed-Performance in CPLDs and FPGAs

Designing for High Speed-Performance in CPLDs and FPGAs Designing for High Speed-Performance in CPLDs and FPGAs Zeljko Zilic, Guy Lemieux, Kelvin Loveless, Stephen Brown, and Zvonko Vranesic Department of Electrical and Computer Engineering University of Toronto,

More information

Implementation of Low Power and Area Efficient Carry Select Adder

Implementation of Low Power and Area Efficient Carry Select Adder International Journal of Engineering Science Invention ISSN (Online): 2319 6734, ISSN (Print): 2319 6726 Volume 3 Issue 8 ǁ August 2014 ǁ PP.36-48 Implementation of Low Power and Area Efficient Carry Select

More information

An Efficient High Speed Wallace Tree Multiplier

An Efficient High Speed Wallace Tree Multiplier Chepuri satish,panem charan Arur,G.Kishore Kumar and G.Mamatha 38 An Efficient High Speed Wallace Tree Multiplier Chepuri satish, Panem charan Arur, G.Kishore Kumar and G.Mamatha Abstract: The Wallace

More information

A RANDOM CONSTRAINED MOVIE VERSUS A RANDOM UNCONSTRAINED MOVIE APPLIED TO THE FUNCTIONAL VERIFICATION OF AN MPEG4 DECODER DESIGN

A RANDOM CONSTRAINED MOVIE VERSUS A RANDOM UNCONSTRAINED MOVIE APPLIED TO THE FUNCTIONAL VERIFICATION OF AN MPEG4 DECODER DESIGN A RANDOM CONSTRAINED MOVIE VERSUS A RANDOM UNCONSTRAINED MOVIE APPLIED TO THE FUNCTIONAL VERIFICATION OF AN MPEG4 DECODER DESIGN George S. Silveira, Karina R. G. da Silva, Elmar U. K. Melcher Universidade

More information

Logic and Computer Design Fundamentals. Chapter 7. Registers and Counters

Logic and Computer Design Fundamentals. Chapter 7. Registers and Counters Logic and Computer Design Fundamentals Chapter 7 Registers and Counters Registers Register a collection of binary storage elements In theory, a register is sequential logic which can be defined by a state

More information

Investigation of Look-Up Table Based FPGAs Using Various IDCT Architectures

Investigation of Look-Up Table Based FPGAs Using Various IDCT Architectures Investigation of Look-Up Table Based FPGAs Using Various IDCT Architectures Jörn Gause Abstract This paper presents an investigation of Look-Up Table (LUT) based Field Programmable Gate Arrays (FPGAs)

More information

FPGA Implementation of Convolutional Encoder And Hard Decision Viterbi Decoder

FPGA Implementation of Convolutional Encoder And Hard Decision Viterbi Decoder FPGA Implementation of Convolutional Encoder And Hard Decision Viterbi Decoder JTulasi, TVenkata Lakshmi & MKamaraju Department of Electronics and Communication Engineering, Gudlavalleru Engineering College,

More information

Adaptive Fir Filter with Optimised Area and Power using Modified Inner-Product Block

Adaptive Fir Filter with Optimised Area and Power using Modified Inner-Product Block Adaptive Fir Filter with Optimised Area and Power using Modified Inner-Product Block Jesmin Joy M. Tech Scholar (VLSI & Embedded Systems), Dept. of ECE, IIET, M. G. University, Kottayam, Kerala, India

More information

LUT Design Using OMS Technique for Memory Based Realization of FIR Filter

LUT Design Using OMS Technique for Memory Based Realization of FIR Filter International Journal of Emerging Engineering Research and Technology Volume. 2, Issue 6, September 2014, PP 72-80 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) LUT Design Using OMS Technique for Memory

More information

Design and Implementation of LUT Optimization DSP Techniques

Design and Implementation of LUT Optimization DSP Techniques Design and Implementation of LUT Optimization DSP Techniques 1 D. Srinivasa rao & 2 C. Amala 1 M.Tech Research Scholar, Priyadarshini Institute of Technology & Science, Chintalapudi 2 Associate Professor,

More information

Optimization of Multi-Channel BCH Error Decoding for Common Cases. Russell Dill Master's Thesis Defense April 20, 2015

Optimization of Multi-Channel BCH Error Decoding for Common Cases. Russell Dill Master's Thesis Defense April 20, 2015 Optimization of Multi-Channel BCH Error Decoding for Common Cases Russell Dill Master's Thesis Defense April 20, 2015 Bose-Chaudhuri-Hocquenghem (BCH) BCH is an Error Correcting Code (ECC) and is used

More information

L12: Reconfigurable Logic Architectures

L12: Reconfigurable Logic Architectures L12: Reconfigurable Logic Architectures Acknowledgements: Materials in this lecture are courtesy of the following sources and are used with permission. Frank Honore Prof. Randy Katz (Unified Microelectronics

More information

Use of Low Power DET Address Pointer Circuit for FIFO Memory Design

Use of Low Power DET Address Pointer Circuit for FIFO Memory Design International Journal of Education and Science Research Review Use of Low Power DET Address Pointer Circuit for FIFO Memory Design Harpreet M.Tech Scholar PPIMT Hisar Supriya Bhutani Assistant Professor

More information

Chapter 5 Sequential Circuits

Chapter 5 Sequential Circuits Logic and Computer Design Fundamentals Chapter 5 Sequential Circuits Part 2 Sequential Circuit Design Charles Kime & Thomas Kaminski 28 Pearson Education, Inc. (Hyperlinks are active in View Show mode)

More information

High Performance Carry Chains for FPGAs

High Performance Carry Chains for FPGAs High Performance Carry Chains for FPGAs Matthew M. Hosler Department of Electrical and Computer Engineering Northwestern University Abstract Carry chains are an important consideration for most computations,

More information

Advanced Training Course on FPGA Design and VHDL for Hardware Simulation and Synthesis. 26 October - 20 November, 2009

Advanced Training Course on FPGA Design and VHDL for Hardware Simulation and Synthesis. 26 October - 20 November, 2009 2065-28 Advanced Training Course on FPGA Design and VHDL for Hardware Simulation and Synthesis 26 October - 20 November, 2009 Starting to make an FPGA Project Alexander Kluge PH ESE FE Division CERN 385,

More information

Designing an Efficient and Secured LUT Approach for Area Based Occupations

Designing an Efficient and Secured LUT Approach for Area Based Occupations Designing an Efficient and Secured LUT Approach for Area Based Occupations 1 D. Jahnavi, 2 Y. Ravikiran varma 1 M.Tech scholar, E.C.E, Sreenivasa institute of technology and management studies, Chittoor

More information

OF AN ADVANCED LUT METHODOLOGY BASED FIR FILTER DESIGN PROCESS

OF AN ADVANCED LUT METHODOLOGY BASED FIR FILTER DESIGN PROCESS IMPLEMENTATION OF AN ADVANCED LUT METHODOLOGY BASED FIR FILTER DESIGN PROCESS 1 G. Sowmya Bala 2 A. Rama Krishna 1 PG student, Dept. of ECM. K.L.University, Vaddeswaram, A.P, India, 2 Assistant Professor,

More information

Reconfigurable FPGA Implementation of FIR Filter using Modified DA Method

Reconfigurable FPGA Implementation of FIR Filter using Modified DA Method Reconfigurable FPGA Implementation of FIR Filter using Modified DA Method M. Backia Lakshmi 1, D. Sellathambi 2 1 PG Student, Department of Electronics and Communication Engineering, Parisutham Institute

More information

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL Random Access Scan Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL ramamve@auburn.edu Term Paper for ELEC 7250 (Spring 2005) Abstract: Random Access

More information

MUHAMMAD NAEEM LATIF MCS 3 RD SEMESTER KHANEWAL

MUHAMMAD NAEEM LATIF MCS 3 RD SEMESTER KHANEWAL 1. A stage in a shift register consists of (a) a latch (b) a flip-flop (c) a byte of storage (d) from bits of storage 2. To serially shift a byte of data into a shift register, there must be (a) one click

More information

MODULE 3. Combinational & Sequential logic

MODULE 3. Combinational & Sequential logic MODULE 3 Combinational & Sequential logic Combinational Logic Introduction Logic circuit may be classified into two categories. Combinational logic circuits 2. Sequential logic circuits A combinational

More information

A Symmetric Differential Clock Generator for Bit-Serial Hardware

A Symmetric Differential Clock Generator for Bit-Serial Hardware A Symmetric Differential Clock Generator for Bit-Serial Hardware Mitchell J. Myjak and José G. Delgado-Frias School of Electrical Engineering and Computer Science Washington State University Pullman, WA,

More information

CHAPTER 4 RESULTS & DISCUSSION

CHAPTER 4 RESULTS & DISCUSSION CHAPTER 4 RESULTS & DISCUSSION 3.2 Introduction This project aims to prove that Modified Baugh-Wooley Two s Complement Signed Multiplier is one of the high speed multipliers. The schematic of the multiplier

More information

Research Article Design and Implementation of High Speed and Low Power Modified Square Root Carry Select Adder (MSQRTCSLA)

Research Article Design and Implementation of High Speed and Low Power Modified Square Root Carry Select Adder (MSQRTCSLA) Research Journal of Applied Sciences, Engineering and Technology 12(1): 43-51, 2016 DOI:10.19026/rjaset.12.2302 ISSN: 2040-7459; e-issn: 2040-7467 2016 Maxwell Scientific Publication Corp. Submitted: August

More information

An automatic synchronous to asynchronous circuit convertor

An automatic synchronous to asynchronous circuit convertor An automatic synchronous to asynchronous circuit convertor Charles Brej Abstract The implementation methods of asynchronous circuits take time to learn, they take longer to design and verifying is very

More information

DIGITAL CIRCUIT LOGIC UNIT 9: MULTIPLEXERS, DECODERS, AND PROGRAMMABLE LOGIC DEVICES

DIGITAL CIRCUIT LOGIC UNIT 9: MULTIPLEXERS, DECODERS, AND PROGRAMMABLE LOGIC DEVICES DIGITAL CIRCUIT LOGIC UNIT 9: MULTIPLEXERS, DECODERS, AND PROGRAMMABLE LOGIC DEVICES 1 Learning Objectives 1. Explain the function of a multiplexer. Implement a multiplexer using gates. 2. Explain the

More information

CHAPTER 6 ASYNCHRONOUS QUASI DELAY INSENSITIVE TEMPLATES (QDI) BASED VITERBI DECODER

CHAPTER 6 ASYNCHRONOUS QUASI DELAY INSENSITIVE TEMPLATES (QDI) BASED VITERBI DECODER 80 CHAPTER 6 ASYNCHRONOUS QUASI DELAY INSENSITIVE TEMPLATES (QDI) BASED VITERBI DECODER 6.1 INTRODUCTION Asynchronous designs are increasingly used to counter the disadvantages of synchronous designs.

More information

Read-only memory (ROM) Digital logic: ALUs Sequential logic circuits. Don't cares. Bus

Read-only memory (ROM) Digital logic: ALUs Sequential logic circuits. Don't cares. Bus Digital logic: ALUs Sequential logic circuits CS207, Fall 2004 October 11, 13, and 15, 2004 1 Read-only memory (ROM) A form of memory Contents fixed when circuit is created n input lines for 2 n addressable

More information

CHAPTER 4: Logic Circuits

CHAPTER 4: Logic Circuits CHAPTER 4: Logic Circuits II. Sequential Circuits Combinational circuits o The outputs depend only on the current input values o It uses only logic gates, decoders, multiplexers, ALUs Sequential circuits

More information

Chapter Contents. Appendix A: Digital Logic. Some Definitions

Chapter Contents. Appendix A: Digital Logic. Some Definitions A- Appendix A - Digital Logic A-2 Appendix A - Digital Logic Chapter Contents Principles of Computer Architecture Miles Murdocca and Vincent Heuring Appendix A: Digital Logic A. Introduction A.2 Combinational

More information

OMS Based LUT Optimization

OMS Based LUT Optimization International Journal of Advanced Education and Research ISSN: 2455-5746, Impact Factor: RJIF 5.34 www.newresearchjournal.com/education Volume 1; Issue 5; May 2016; Page No. 11-15 OMS Based LUT Optimization

More information

CHAPTER 4: Logic Circuits

CHAPTER 4: Logic Circuits CHAPTER 4: Logic Circuits II. Sequential Circuits Combinational circuits o The outputs depend only on the current input values o It uses only logic gates, decoders, multiplexers, ALUs Sequential circuits

More information

High Speed 8-bit Counters using State Excitation Logic and their Application in Frequency Divider

High Speed 8-bit Counters using State Excitation Logic and their Application in Frequency Divider High Speed 8-bit Counters using State Excitation Logic and their Application in Frequency Divider Ranjith Ram. A 1, Pramod. P 2 1 Department of Electronics and Communication Engineering Government College

More information

Implementation of an MPEG Codec on the Tilera TM 64 Processor

Implementation of an MPEG Codec on the Tilera TM 64 Processor 1 Implementation of an MPEG Codec on the Tilera TM 64 Processor Whitney Flohr Supervisor: Mark Franklin, Ed Richter Department of Electrical and Systems Engineering Washington University in St. Louis Fall

More information

Solution to Digital Logic )What is the magnitude comparator? Design a logic circuit for 4 bit magnitude comparator and explain it,

Solution to Digital Logic )What is the magnitude comparator? Design a logic circuit for 4 bit magnitude comparator and explain it, Solution to Digital Logic -2067 Solution to digital logic 2067 1.)What is the magnitude comparator? Design a logic circuit for 4 bit magnitude comparator and explain it, A Magnitude comparator is a combinational

More information

Modified Reconfigurable Fir Filter Design Using Look up Table

Modified Reconfigurable Fir Filter Design Using Look up Table Modified Reconfigurable Fir Filter Design Using Look up Table R. Dhayabarani, Assistant Professor. M. Poovitha, PG scholar, V.S.B Engineering College, Karur, Tamil Nadu. Abstract - Memory based structures

More information

Chapter 10 Basic Video Compression Techniques

Chapter 10 Basic Video Compression Techniques Chapter 10 Basic Video Compression Techniques 10.1 Introduction to Video compression 10.2 Video Compression with Motion Compensation 10.3 Video compression standard H.261 10.4 Video compression standard

More information

CprE 281: Digital Logic

CprE 281: Digital Logic CprE 28: Digital Logic Instructor: Alexander Stoytchev http://www.ece.iastate.edu/~alexs/classes/ Registers and Counters CprE 28: Digital Logic Iowa State University, Ames, IA Copyright Alexander Stoytchev

More information

Prototyping an ASIC with FPGAs. By Rafey Mahmud, FAE at Synplicity.

Prototyping an ASIC with FPGAs. By Rafey Mahmud, FAE at Synplicity. Prototyping an ASIC with FPGAs By Rafey Mahmud, FAE at Synplicity. With increased capacity of FPGAs and readily available off-the-shelf prototyping boards sporting multiple FPGAs, it has become feasible

More information

COPY RIGHT. To Secure Your Paper As Per UGC Guidelines We Are Providing A Electronic Bar Code

COPY RIGHT. To Secure Your Paper As Per UGC Guidelines We Are Providing A Electronic Bar Code COPY RIGHT 2018IJIEMR.Personal use of this material is permitted. Permission from IJIEMR must be obtained for all other uses, in any current or future media, including reprinting/republishing this material

More information

Principles of Video Compression

Principles of Video Compression Principles of Video Compression Topics today Introduction Temporal Redundancy Reduction Coding for Video Conferencing (H.261, H.263) (CSIT 410) 2 Introduction Reduce video bit rates while maintaining an

More information

CHAPTER 6 DESIGN OF HIGH SPEED COUNTER USING PIPELINING

CHAPTER 6 DESIGN OF HIGH SPEED COUNTER USING PIPELINING 149 CHAPTER 6 DESIGN OF HIGH SPEED COUNTER USING PIPELINING 6.1 INTRODUCTION Counters act as important building blocks of fast arithmetic circuits used for frequency division, shifting operation, digital

More information

Performance Evolution of 16 Bit Processor in FPGA using State Encoding Techniques

Performance Evolution of 16 Bit Processor in FPGA using State Encoding Techniques Performance Evolution of 16 Bit Processor in FPGA using State Encoding Techniques Madhavi Anupoju 1, M. Sunil Prakash 2 1 M.Tech (VLSI) Student, Department of Electronics & Communication Engineering, MVGR

More information

Find the equivalent decimal value for the given value Other number system to decimal ( Sample)

Find the equivalent decimal value for the given value Other number system to decimal ( Sample) VELAMMAL COLLEGE OF ENGINEERING AND TECHNOLOGY, MADURAI 65 009 Department of Information Technology Model Exam-II-Question bank PART A (Answer for all Questions) (8 X = 6) K CO Marks Find the equivalent

More information

Design of BIST with Low Power Test Pattern Generator

Design of BIST with Low Power Test Pattern Generator IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 4, Issue 5, Ver. II (Sep-Oct. 2014), PP 30-39 e-issn: 2319 4200, p-issn No. : 2319 4197 Design of BIST with Low Power Test Pattern Generator

More information

Designing Fir Filter Using Modified Look up Table Multiplier

Designing Fir Filter Using Modified Look up Table Multiplier Designing Fir Filter Using Modified Look up Table Multiplier T. Ranjith Kumar Scholar, M-Tech (VLSI) GITAM University, Visakhapatnam Email id:-ranjithkmr55@gmail.com ABSTRACT- With the advancement in device

More information

L11/12: Reconfigurable Logic Architectures

L11/12: Reconfigurable Logic Architectures L11/12: Reconfigurable Logic Architectures Acknowledgements: Materials in this lecture are courtesy of the following people and used with permission. - Randy H. Katz (University of California, Berkeley,

More information

Registers and Counters

Registers and Counters Registers and Counters A register is a group of flip-flops which share a common clock An n-bit register consists of a group of n flip-flops capable of storing n bits of binary information May have combinational

More information

COE328 Course Outline. Fall 2007

COE328 Course Outline. Fall 2007 COE28 Course Outline Fall 2007 1 Objectives This course covers the basics of digital logic circuits and design. Through the basic understanding of Boolean algebra and number systems it introduces the student

More information

Bachelor Level/ First Year/ Second Semester/ Science Full Marks: 60 Computer Science and Information Technology (CSc. 151) Pass Marks: 24

Bachelor Level/ First Year/ Second Semester/ Science Full Marks: 60 Computer Science and Information Technology (CSc. 151) Pass Marks: 24 2065 Computer Science and Information Technology (CSc. 151) Pass Marks: 24 Time: 3 hours. Candidates are required to give their answers in their own words as for as practicable. Attempt any TWO questions:

More information

EN2911X: Reconfigurable Computing Topic 01: Programmable Logic. Prof. Sherief Reda School of Engineering, Brown University Fall 2014

EN2911X: Reconfigurable Computing Topic 01: Programmable Logic. Prof. Sherief Reda School of Engineering, Brown University Fall 2014 EN2911X: Reconfigurable Computing Topic 01: Programmable Logic Prof. Sherief Reda School of Engineering, Brown University Fall 2014 1 Contents 1. Architecture of modern FPGAs Programmable interconnect

More information

California State University, Bakersfield Computer & Electrical Engineering & Computer Science ECE 3220: Digital Design with VHDL Laboratory 7

California State University, Bakersfield Computer & Electrical Engineering & Computer Science ECE 3220: Digital Design with VHDL Laboratory 7 California State University, Bakersfield Computer & Electrical Engineering & Computer Science ECE 322: Digital Design with VHDL Laboratory 7 Rational: The purpose of this lab is to become familiar in using

More information

Chapter 5: Synchronous Sequential Logic

Chapter 5: Synchronous Sequential Logic Chapter 5: Synchronous Sequential Logic NCNU_2016_DD_5_1 Digital systems may contain memory for storing information. Combinational circuits contains no memory elements the outputs depends only on the inputs

More information

Laboratory 1 - Introduction to Digital Electronics and Lab Equipment (Logic Analyzers, Digital Oscilloscope, and FPGA-based Labkit)

Laboratory 1 - Introduction to Digital Electronics and Lab Equipment (Logic Analyzers, Digital Oscilloscope, and FPGA-based Labkit) Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science 6. - Introductory Digital Systems Laboratory (Spring 006) Laboratory - Introduction to Digital Electronics

More information

Electrical and Telecommunications Engineering Technology_TCET3122/TC520. NEW YORK CITY COLLEGE OF TECHNOLOGY The City University of New York

Electrical and Telecommunications Engineering Technology_TCET3122/TC520. NEW YORK CITY COLLEGE OF TECHNOLOGY The City University of New York NEW YORK CITY COLLEGE OF TECHNOLOGY The City University of New York DEPARTMENT: SUBJECT CODE AND TITLE: COURSE DESCRIPTION: REQUIRED: Electrical and Telecommunications Engineering Technology TCET 3122/TC

More information

Introduction to Digital Logic Missouri S&T University CPE 2210 Exam 3 Logistics

Introduction to Digital Logic Missouri S&T University CPE 2210 Exam 3 Logistics Introduction to Digital Logic Missouri S&T University CPE 2210 Exam 3 Logistics Egemen K. Çetinkaya Egemen K. Çetinkaya Department of Electrical & Computer Engineering Missouri University of Science and

More information

R13 SET - 1 '' ''' '' ' '''' Code No: RT21053

R13 SET - 1 '' ''' '' ' '''' Code No: RT21053 SET - 1 1. a) What are the characteristics of 2 s complement numbers? b) State the purpose of reducing the switching functions to minimal form. c) Define half adder. d) What are the basic operations in

More information

A Low Power Implementation of H.264 Adaptive Deblocking Filter Algorithm

A Low Power Implementation of H.264 Adaptive Deblocking Filter Algorithm A Low Power Implementation of H.264 Adaptive Deblocking Filter Algorithm Mustafa Parlak and Ilker Hamzaoglu Faculty of Engineering and Natural Sciences Sabanci University, Tuzla, 34956, Istanbul, Turkey

More information

Logic Devices for Interfacing, The 8085 MPU Lecture 4

Logic Devices for Interfacing, The 8085 MPU Lecture 4 Logic Devices for Interfacing, The 8085 MPU Lecture 4 1 Logic Devices for Interfacing Tri-State devices Buffer Bidirectional Buffer Decoder Encoder D Flip Flop :Latch and Clocked 2 Tri-state Logic Outputs

More information

ECSE-323 Digital System Design. Datapath/Controller Lecture #1

ECSE-323 Digital System Design. Datapath/Controller Lecture #1 1 ECSE-323 Digital System Design Datapath/Controller Lecture #1 2 Synchronous Digital Systems are often designed in a modular hierarchical fashion. The system consists of modular subsystems, each of which

More information

Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science Introductory Digital Systems Laboratory

Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science Introductory Digital Systems Laboratory Problem Set Issued: March 3, 2006 Problem Set Due: March 15, 2006 Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science 6.111 Introductory Digital Systems Laboratory

More information

WINTER 15 EXAMINATION Model Answer

WINTER 15 EXAMINATION Model Answer Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme. 2) The model answer and the answer written by candidate

More information

DC Ultra. Concurrent Timing, Area, Power and Test Optimization. Overview

DC Ultra. Concurrent Timing, Area, Power and Test Optimization. Overview DATASHEET DC Ultra Concurrent Timing, Area, Power and Test Optimization DC Ultra RTL synthesis solution enables users to meet today s design challenges with concurrent optimization of timing, area, power

More information