Scan Chain and Power Delivery Network Synthesis for Pre-Bond Test of 3D ICs

Size: px
Start display at page:

Download "Scan Chain and Power Delivery Network Synthesis for Pre-Bond Test of 3D ICs"

Transcription

1 Die 1 Die 0 Scan Chain and Power Delivery Network Synthesis for Pre-Bond Test of 3D ICs Shreepad Panth and Sung Kyu Lim School of Electrical and Computer Engineering Georgia Institute of Technology {spanth, limsk}@ece.gatech.edu Abstract Pre-bond testing of 3D ICs improves yield by preventing bad dies and/or wafers from being used in the final 3D stack. However, pre-bond testing is challenging because it requires special scan chains and power delivery mechanism. Any 3D scan chains that traverse multiple dies will be fragmentized in each individual die during pre-bond testing. In this paper we study the scan chain and power delivery network synthesis for pre-bond testing of 3D ICs. The testing of individual dies is facilitated by the addition of dedicated probe pads for power delivery and scan IO as a form of design-for-testing. We investigate the impact of scan-chain Through-Silicon-Vias (TSVs) on power consumption and voltage drop. We also study the requirements of power probe pads for power delivery during pre-bond structural test. Index Terms 3D ICs, pre-bond test, structural test, probe test, power delivery network Fig. 1. Gates C4 Bumps P/G TSV Signal TSV TSV landing pad Probe Pad The Structure of a 3D Integrated Circuit I. INTRODUCTION Three Dimensional (3D) Integrated Circuits have emerged as a solution to the interconnect scaling problem. A Through- Silicon Via (TSV) is used to connect multiple dies in the vertical dimension, and this extra dimension offers us the possibility of reducing the length of the longest wires, the total wirelength, increasing the system clock frequency, and reducing power consumption. However, these through silicon vias have non-negligible area, which affects the placement results of other surrounding logic or memory [1]. Thus, they cannot be treated simply as an interconnect, but their impact on the layout has to be considered as well. Testing of 3D ICs remains one of the major EDA challenges facing their widespread adoption [2]. Testing of the entire 3D stack is known as post-bond test, and is not overly challenging as we have a completely functional circuit, as well as solder bumps for providing test access. However, pre-bond test, which is the testing for each die prior to stacking, is challenging because each die may not have complete logic, and there are no solder bumps to connect to. A solution to the first problem is performing structural test, using scan chains, where the high-level functionality of the circuit is irrelevant, and a solution to the second problem is the addition of probe pads so that probe needles can touchdown during wafer test. We address the following issues related to the pre-bond testing for 3D ICs. First, we study the power delivery re- This material is based upon work supported by the National Science Foundation under Grant No. CCF , the FCRP Interconnect Focus Center (IFC), and Intel Corporation. quirements and methods for the structural post-bond testing of a 3D Stacked IC. Second, we investigate the impact of scan-chain TSV count on the scan chain length as well as the system parameters such as total circuit wirelength. Third, we investigate the impact of probe pad usage on the power delivery during pre-bond testing. II. PRELIMINARIES AND MOTIVATION A. Prior Work in 3D IC Testing Although the testing of 3D IC s is an important challenge facing their adoption, only limited work has been done in the field. Wu et al [3] compare several scan chain schemes, and provide a genetic algorithm and ILP based algorithms for routing them for post bond test. Zhao et al [4] provided a scheme for clock tree synthesis to facilitate pre-bond test. At the architecture level, Lewis and Lee [5] proposed a scan island based methodology to test incomplete circuits during pre-bond test. The 3D test flow, TSV defects, and possible changes to the test architecture were presented in [6]. The authors of [7], [8] provide test architecture design for 3D SoCs. B. Motivation Although some work has been done in the area of prebond test, none have yet considered the need for power supply during pre-bond test. The structure of a typical 3D IC is shown in Figure 1. Usually, only one die has C4 bumps, and all other dies receive power through P/G TSVs. During pre-bond test of these dies, no wire bond pads for power or ground exist, and probe pads need to be added to facilitate their testing as

2 PAD TSV (a) probe pads and TSVs (b) P/G TSV with P/G wires (c) signal TSV and P/G wires Fig. 2. Cadence Encounter image shots. (a) probe pads and TSVs, (b) P/G TSVs and P/G wire detours, (c) signal TSVs and P/G wires. P/G wires can be routed over signal TSVs. Fig. 3. Damage caused to the probe pad after a single probe touchdown [11] shown. In addition, any scan chains that traverse multiple dies will be broken, which may leave several unbalanced smaller chains which also need to be provided with test access in the form of probe pads. Fine grained touchdown probe needles are unlikely to be available at least for another decade [9], and today s probe pads are limited by available technology [10] to a minimum pitch of 35 40µm for cantilever probing, and 100µm for vertical probing with a minimum pad size of around 25µm. As seen from Figure 1, not only do these probes occupy significant area on the die in which it is placed, any TSVs in the previous die cannot be placed in the same location as the probe pad in order to avoid overlap with its landing pad. Therefore, several layout implications exist to the addition of probe pads, and their locations have to be chosen carefully. III. LOCATION OF PROBE PADS Ideally, we would like to place a probe pad over the landing pad of the TSV to which we wish to connect. This would minimize the area overhead, as well as provide a low resistance connection in the case of power delivery. However, when the probe makes contact with the probe pad, it creates a scrub mark, which significantly affects its planarity, as shown in Figure 3 [11]. It is still unclear how this scrub mark will affect the TSV bonding process, and for the sake of reliability, a certain distance has to be maintained between the probe pad and the TSV landing pad. Figure 2(a) shows such an arrangement, with Figure 2(b) showing a close up shot. P/G Probe Pads: In this case, we wish to have as low a resistance path as possible. Thus, the probe pad has to be placed close to the P/G landing pad and connected to it using a thick strip of the top metal layer. We must be careful to not place it too close, because the P/G TSV landing pad is connected to the power grid through an array of local vias. Such an array present near the probe needle at touchdown is a major source of dielectric cracking [12]. Other Probe Pads: These probe pads include probe pads added for the scan chain, as well as those for a die level wrapper. We add a IEEE 1500 compliant [13] die level wrapper, which gives us test access to the TSVs during pre-bond test. Since the resistance is not paramount for these probe pads, it can be left up to the router to connect the probe pad to the TSV landing pad. The location of these probe pads can be chosen based on ATE constrains, or to minimize the change in the layout of other dies. IV. 3D SCAN CHAINS Constructing a scan chain in a 3D fashion has several advantages over a 2D approach. Wu et al [3] have shown that around a 40% reduction in the scan wirelength can be achieved with 3D scan chains compared with 2D chains for post-bond testing of 3D ICs. This can significantly reduce the test time of the circuit. A 3D scan chain relies on the use of TSVs, and since TSVs occupy silicon area, the total number of scan TSVs that we can use is limited. In this section, we present a greedy heuristic to stitch a 3D scan chain, in order to minimize its wirelength. The constraints are the number of scan TSVs that can be used, and a fixed scan-in and scan-out pin. This is shown in Figure 4. Here, C represents the TSV constraint for each die, and there are k dies. Assuming that we use Face-to-Back (F2B) bonding, TSVs are absent on the last die, and we have k 1 constraints. X represents the set of all scan cells, we have m scan cells. x 0 represents the scan-in pin, and x m+1 represents the scan out pin. Next, the cost function between two cells are initialized. This cost function is given by Equation (1), where z represents

3 1: C {c 1, c 2,..., c k 1 } 2: X {x 0, x 1, x 2,..., x m, x m+1 } 3: i, j initialize Cost(i,j) 4: M = {x 0, x m+1 } 5: u x 0, v x m+1 6: while M X X do 7: u = Min(Cost(u, j)), j / M 8: M = M j 9: u = u 10: i, j update Cost(i,j) 11: v = min(cost(v, k)), j / M 12: M = M k 13: v = v 14: i, j update Cost(i,j) 15: end while Fig. 6. Re-use of existing signal TSVs for scan chain Fig. 4. Greedy algorithm to construct a 3D scan chain s t s t (a) (b) Fig. 7. (a) A 3D scan chain, and (b) multiple fragments connected together Fig. 5. (a) Scan Chain grown from one direction, and (b) Scan Chain grown from two directions all dies between x i and x j, and R z represents the remaining number of TSVs that we are allowed to use for that die. d ij i,j in same die Cost(i, j) = d ij (1) otherwise min R z /C z Next, set M represents the set of marked cells, and the scan-in and scan-out pin are initially marked. Next, we stitch the scan chain from two sides, both from the scan-in and the scan-out pins. We choose the cell with minimum cost in each iteration, and this process continues until all cells are marked. The cost function is dynamically updated, and as more TSVs are used in a particular die, it becomes less attractive to use them, until the cost eventually becomes infinity when all the TSVs are used. It is important to note that when this happens, it may not be possible to stitch all the scan cells without using more TSVs due to the presence of isolated chains. In this case, extra TSVs may be used, which will not exceed two TSVs per die. Each constraint may be subtracted by two to compensate for this effect. Although it is possible to grow the scan chain from one direction only, we grow it from both directions in order to ensure smaller wirelength, as shown in Figure 5. A. Re-Use of Signal TSVs So far, we have assumed that when a scan chain goes from one die to another, we require a dedicated scan TSV. In a scan chain, the output of a flip-flop is connected to the scan input of the next flip flop, as well as to some combinational logic that is of no consequence during the test mode. It might be possible as shown in Figure 6, that a flip flop drives some combinational logic on another die through an existing signal TSV. In this case, we do not need to insert an additional TSV just for the purpose of the scan chain, but we can re-use the existing signal TSV as shown. A careful choice of scan ordering can make use of several existing signal TSVs, thereby reducing the overall scan chain wirelength, without suffering the penalty of inserting a large TSV into the layout. B. Broken Scan Chains Once we have inserted a 3D scan chain into the design, it is used during post-bond test, and its scan-in and scan-out pins are accessed through solder bumps. However, if we wish to perform pre-bond test on each die, we are left with several scan chain fragments, whose number depend on the number of scan TSVs used. It is not feasible to probe all these fragments due to the large size of the required probe pads. Thus, we have to stitch together different fragments as shown in Figure 7 so that the test-pin count is reduced. We use tri-state buffers to stitch together the broken fragments, and they are enabled by a pre-bond test signal. A. Design Flow V. DESIGN AND ANALYSIS FLOW The addition of probe pads complicates the design flow process. This is because the probe pads added in a die not only affects components in its own die, but also affects the placement results of the adjacent die. Initially scan cells are

4 Fig. 8. (a) Scale representation of ICT along y-axis, (b) top die, (c) bottom die inserted into the 2D netlist, either during or after synthesis. Next, the original netlist is partitioned into as many dies as required, and individual netlists are obtained for each die. In the next step, we perform power planning where we decide the configuration and pitch of the number of the power and ground TSVs, as well as the location and number of power and ground probe pads to be used. Once the power plan is completed, we perform an initial placement of each die individually using Cadence Encounter to get the location of scan flip-flops. We then stitch the scan chains together using the greedy algorithm discussed in Section IV. This process introduces additional scan TSVs into the design, which change the placement results. In the next step, we decide the location of the additional probe pads as scan-in and scan out pads for both the scan chain and die-level wrapper, a clock pad, and the scan enable pad. Once the locations of the pads are determined, placement is again carried out to accommodate the new TSVs, as well as to avoid placing any TSVs at the location of probe pads. Finally, the design is routed on a die-by-die basis. B. 3D Power Analysis In order to analyze 3D power, we create a testbench for the two dimensional netlist using pseudo-random test vectors, and then conduct logic simulation using Synopsys VCS to obtain the 2D VCD (value change dump) file. With the information from the die netlists, this 2D VCD file is converted into several VCD files, one for each die. Once we have the VCD file for a given die, the TSV pins on that die are annotated with the TSV capacitance, and power simulations for each die are carried out using Cadence VoltageStorm. C. 3D Voltage Drop Analysis Once the 3D IC design is completed, we perform voltage drop analysis for the entire 3D IC to obtain IR-drop noise values. Our 3D IR-drop analysis tool is based on Cadence VoltageStorm, which is designed for 2D ICs. We take the following steps to handle 3D designs using VoltageStorm: 1) We modify the interconnect technology file (ICT), which contains information on all layers (device layer, dielectric layer, metal layer, vias, and TSVs) and their relative position and resistance values, to model our two die stacked configuration as shown in Figure 8. 2) We create a 3D technology file (TCH), which contains resistive and capacitive information for all and between layers, using Cadence Techgen. 3) We generate a 3D library exchange format (LEF) file so that layers and gates in different dies can be distinguished by the tools. For example, M1 in top-most die and M1 in bottom-most die should be differentiated so that 2D tools distinguish these M1 layers. 4) Power consumption data and layer mapping files, which maps design to appropriate LEF and GDS layers, are modified as well to be used for different dies accordingly. 5) Finally, we create a 3D design exchange format (DEF) file from the final layout of each die to form a single 3D design. After all these preparations are ready, we can run 3D IR-drop analysis on this combined design using Cadence VoltageStorm. Using our IR-drop estimation method, we were able to match both 2D and the two die-stacked 3D IR-drop results from the VoltageStorm within 7% error. Our computed resistance value based on the ICT file for each P/G wire segment overestimated by 6% compared to VoltageStorm. Since this is deterministic error, resistivity value is tuned to match the results. Due to the tools limitation on number of layers it can process, we validated our algorithm up to two die-stacked 3D ICs. VI. EXPERIMENTAL RESULTS The greedy heuristic for scan chain insertion was implemented in C++, and we choose a FFT circuit from [14] for our analysis. Synthesis was carried out in Synopsys Design Compiler using NCSU 45µm technology. The design was placed in two dies, and the number of TSVs is chosen to occupy around 20% of the entire die area. Statistics about the design used are as follows: the number of gates is 400, 213, signal TSV is 2953, Flip-Flops is 75, 723, power TSV is 81, and ground TSV is 64. We use TSVs with 6µm diameter and 10µm landing pad for both P/G and signal. Its height is assumed to be 50µm, and its resistance is 50mΩ. Power and Ground TSVs are inserted at a pitch of 150µm. The probe pad width is assumed to be 40µm, and is assumed to have a minimum pitch of 100µm. The inserted wrapper scan elements occupy 1.96% of the total die area, and have a total stitched wirelength of 75054µm. This corresponds to 0.48% of the baseline wirelength reported in Figure 9(a). A. Impact of Scan Chain TSV on Wirelength In order to study the impact of the number of scan TSV on the wirelength of the design, we construct three different scan chains as shown in Table I. Since we cannot construct a 3D

5 TABLE I SCAN CHAIN CONFIGURATIONS Name No. TSVs #TSV reused Stitch WL (µm) scan scan scan scan chain with no TSVs, we insert 2 TSVs in scan0, which is the minimum number required. Column 3 shows that even without using any specific algorithm to re-use existing signal TSVs, it is possible to re-use around 2% of the TSVs required for the scan chain. The number of scan-chain fragments formed per die is exactly half of the number of TSVs, and Column 4 gives the amount of additional wirelength that is required to stitch all the fragments together into a single scan chain. We observe that with an increase in the number of fragments, the wirelength required to stitch them together also increases. Next, we study the impact of the scan chain TSV count on the scan wirelength and the total wirelength of the 3D design. This is plotted in Figure 9(a). First, we observe that an increase in the number of scan TSVs always helps reduce the scan wirelength. But in the case of signal and total wirelength, adding more scan TSVs helps reduce them initially. But beyond a certain point they start to worsen. The initial improvement is achieved due to the lower scan wirelength reducing the routing blockage. With a further increase in the number of TSVs, either the die area or standard cell density increases. If the die area increases, the average distance between gates increases, increasing the overall wirelength. An increase in the cell density also increases routing congestion. B. Power and IR-drop Analysis during Post-bond Testing We vary the number of scan chain TSVs and study the impact on the power consumption and voltage drop of the 3D die stack during normal operation, and post-bond test. During the normal operation, we generate vectors at the primary inputs of the design. During post-bond structural test, we generate patterns at the scan-in terminal and shift them through the scan chain. Figure 9(b) shows the results of power analysis. As expected, we observe that structural test always has higher power consumption, when compared with the normal mode of operation. During test mode, we observe an initial drop in the power consumption of the circuit when we increase the number of scan TSVs. As we increase this further, no more gains are achieved. This can be attributed to the initial decrease in the scan wirelength, but further gains are offset by the increase in the total wirelength of the 3D FFT design. Next, the same study is carried out for voltage drop and shown in Figure 9(c). We again see worse IR drop during test. When we vary the number of scan TSVs, the same trends are observed here for both normal operation and test mode: an initial increase in voltage drop, followed by a decline as the scan TSV count increases. These results seem counterintuitive as they are exactly the opposite of the power analysis. However, two factors are noteworthy. First, the magnitude of (a) power distribution network (b) IR drop map Fig. 10. (a) GDSII image of our PDN for pre-bond testing, (b) IR drop map under P/G probe pad pitch of 600um using Cadence VoltageStorm. the change in voltage drop is quite small, with the maximum change being 6mV. Secondly, the voltage drop depends not only on the power results, but also on the resistance of the power network. This resistance is affected by the number of TSVs and their location. Inserting more TSVs into the design increases the resistance of the power network due to M1 power strips having to take a detour around them. This accounts for the initial increase in the voltage drop. However, the maximum voltage drop depends on the worst case resistance path to areas of highest power consumption. It is unlikely that all TSV s inserted will fall into this path. It is also possible that the TSVs inserted will avoid this path completely. This accounts for the seemingly unpredictable nature of the voltage drop. C. IR-drop Analysis during Pre-bond Testing In this section, we study the number of probe pads that are required to provide power during pre-bond test. The bottom die has 7 metal layers, and the top die has 6. Metal 7 on the bottom die is used exclusively for TSV landing pads and probe pads. Testing of the top die, the die which has solder bumps is trivial. Power can simply be provided to all the power pins. The voltage drop for Die0 is obtained as follows: scan0 =

6 (a) Impact of Scan TSV count on wirelength (b) Impact of scan TSV count on power (c) 3D IR-drop ( post-bond-testing ) (d) 2D IR-drop ( pre-bond testing ) Fig. 9. Various results 23mV, scan100 = 18mV, scan200 = 18mV. As expected, the voltage drop is well within noise margins, and power delivery for the top die is not an issue. In the case of the bottom die, however, probe pads have to be added at select locations to provide power. Additionally, it is not possible to smoothly control their pitch as they have to align with the power and ground TSVs. We add probe pads at two, three and four times the P/G TSV pitch and show the results in Figure 9(d). We observe that with an increase in pitch, there is a sharp increase in the voltage drop of die1. Thus, the P/G probe pads need to be inserted carefully to control voltage drop. Assuming a 150mV constraint, we see that a pitch of 600um violates the noise constraint. VII. CONCLUSION In this work, we explored the impact of scan chain TSVs on wirelength, power and voltage drop of 3D ICs. We also explored the design options and requirements for power delivery during pre-bond testing. Experimental results show that increasing the number of scan TSVs upto a certain point helps reduce both wirelength and power consumption. We also studied the impact of P/G probe pads used for pre-bond testing on voltage drop. REFERENCES [1] D. Kim, K. Athikulwongse, and S. Lim, A study of Through-Silicon- Via Impact on 3D Stacked ICs, in Proc. IEEE Int. Conf. on Computer- Aided Design, [2] T.Vucurevich. The Long Road to 3D Integration: Are we there yet? Key note speech at the 3D Architecture Conference, [3] X. Wu, P. Falkenstern, K. Chakrabarty, and Y. Xie, Scan Chain Design and Optimization for Three-Dimensional Integrated Circuits, ACM Journal on Emerging Technologies in Computing Systems, [4] X. Zhao, D. Lewis, H.-H. S. Lee, and S. K. Lim, Pre-bond Testable Low-Power Clock Tree Design for 3D Stacked ICs, in IEEE International Conference on Computer-Aided Design, [5] D. Lewis and H. H. S. Lee, A Scan Island Based Design Enabling Pre- Bond Testability in Die Stacked Microprocessors, in IEEE International Test Conference, [6] E. J. Marinissen and Y. Zorian, Testing 3D Chips Containing Through Silicon Vias, in IEEE International Test Conference, [7] L. Jiang, L. Huang, and Q. Xu, Test Architecture Design and Optimization of Three-Dimensional SoCs, in Design, Automation and Test in Europe, [8] L. Jiang, Q. Xu, K. Chakrabarty, and T. Mak, Layout-Driven test- Architecture design and Optimization for 3D SoCs under Pre-Bond Test- Pin-Count Constraint, in IEEE International Conference on Computer Aided Design, [9] International Technology Roadmap for Semiconductors 2009, [10] W. R. Mann, F. L. Taber, P. W. Seitzer, and J. J. Broz, The leading edge of Production Wafer Probe Test Technology, in IEEE International Test Conference. [11] K. Karklin, J. Broz, and B. Mann, Bond Pad Damage Tutorial, in IEEE Semiconductor Wafer Test Workshop, [12] T. Hauck, I. Schmadlak, C. Argento, and W. H. Muller, Damage Risk Assessment of Under-Pad structures in Vertical Wafer Probe Technology, in IEEE European Microelectronics and Packaging Conference, [13] E. Marinissen, J. Verbree, and M. Konijnenburg, A structured and scalable test access architecture for TSV-based 3D stacked ICs, in IEEE VLSI Test Symposium, [14]

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 23, NO. 2, FEBRUARY

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 23, NO. 2, FEBRUARY IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 23, NO. 2, FEBRUARY 2015 317 Scan Test of Die Logic in 3-D ICs Using TSV Probing Brandon Noia, Shreepad Panth, Krishnendu Chakrabarty,

More information

Scan Test of Die Logic in 3D ICs Using TSV Probing

Scan Test of Die Logic in 3D ICs Using TSV Probing Scan Test of Die Logic in 3D ICs Using TSV Probing Brandon Noia 1, Shreepad Panth 2, Krishnendu Chakrabarty 1, and Sung Kyu Lim 2 1 Duke University Dept. Electrical and Computer Engineering Durham, NC

More information

Scan Chain Design for Three-dimensional Integrated Circuits (3D ICs)

Scan Chain Design for Three-dimensional Integrated Circuits (3D ICs) Scan Chain Design for Three-dimensional Integrated Circuits (D ICs) Xiaoxia Wu Paul Falkenstern Yuan Xie Computer Science and Engineering Department The Pennylvavia State University, University Park, PA

More information

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL Random Access Scan Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL ramamve@auburn.edu Term Paper for ELEC 7250 (Spring 2005) Abstract: Random Access

More information

Through Silicon Via Testing Known Good Die (KGD) or Probably Good Die (PGD) Doug Lefever Advantest

Through Silicon Via Testing Known Good Die (KGD) or Probably Good Die (PGD) Doug Lefever Advantest Through Silicon Via Testing Known Good Die (KGD) or Probably Good Die (PGD) Doug Lefever Advantest Single Die Fab Yield will drive Cost Equation. Yield of the device to be stacked 100% 90% 80% Yield of

More information

Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction

Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction Low Illinois Scan Architecture for Simultaneous and Test Data Volume Anshuman Chandra, Felix Ng and Rohit Kapur Synopsys, Inc., 7 E. Middlefield Rd., Mountain View, CA Abstract We present Low Illinois

More information

TKK S ASIC-PIIRIEN SUUNNITTELU

TKK S ASIC-PIIRIEN SUUNNITTELU Design TKK S-88.134 ASIC-PIIRIEN SUUNNITTELU Design Flow 3.2.2005 RTL Design 10.2.2005 Implementation 7.4.2005 Contents 1. Terminology 2. RTL to Parts flow 3. Logic synthesis 4. Static Timing Analysis

More information

Cascade2D: A Design-Aware Partitioning Approach to Monolithic 3D IC with 2D Commercial Tools

Cascade2D: A Design-Aware Partitioning Approach to Monolithic 3D IC with 2D Commercial Tools CascadeD: A Design-Aware Partitioning Approach to Monolithic 3D IC with D Commercial Tools Kyungwook Chang 1, Saurabh Sinha, Brian Cline, Raney Southerland, Michael Doherty, Greg Yeric and Sung Kyu Lim

More information

Using on-chip Test Pattern Compression for Full Scan SoC Designs

Using on-chip Test Pattern Compression for Full Scan SoC Designs Using on-chip Test Pattern Compression for Full Scan SoC Designs Helmut Lang Senior Staff Engineer Jens Pfeiffer CAD Engineer Jeff Maguire Principal Staff Engineer Motorola SPS, System-on-a-Chip Design

More information

Scan. This is a sample of the first 15 pages of the Scan chapter.

Scan. This is a sample of the first 15 pages of the Scan chapter. Scan This is a sample of the first 15 pages of the Scan chapter. Note: The book is NOT Pinted in color. Objectives: This section provides: An overview of Scan An introduction to Test Sequences and Test

More information

Design of Fault Coverage Test Pattern Generator Using LFSR

Design of Fault Coverage Test Pattern Generator Using LFSR Design of Fault Coverage Test Pattern Generator Using LFSR B.Saritha M.Tech Student, Department of ECE, Dhruva Institue of Engineering & Technology. Abstract: A new fault coverage test pattern generator

More information

Sharif University of Technology. SoC: Introduction

Sharif University of Technology. SoC: Introduction SoC Design Lecture 1: Introduction Shaahin Hessabi Department of Computer Engineering System-on-Chip System: a set of related parts that act as a whole to achieve a given goal. A system is a set of interacting

More information

IC Mask Design. Christopher Saint Judy Saint

IC Mask Design. Christopher Saint Judy Saint IC Mask Design Essential Layout Techniques Christopher Saint Judy Saint McGraw-Hill New York Chicago San Francisco Lisbon London Madrid Mexico City Milan New Delhi San Juan Seoul Singapore Sydney Toronto

More information

REDUCING DYNAMIC POWER BY PULSED LATCH AND MULTIPLE PULSE GENERATOR IN CLOCKTREE

REDUCING DYNAMIC POWER BY PULSED LATCH AND MULTIPLE PULSE GENERATOR IN CLOCKTREE Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 3, Issue. 5, May 2014, pg.210

More information

Peak Dynamic Power Estimation of FPGA-mapped Digital Designs

Peak Dynamic Power Estimation of FPGA-mapped Digital Designs Peak Dynamic Power Estimation of FPGA-mapped Digital Designs Abstract The Peak Dynamic Power Estimation (P DP E) problem involves finding input vector pairs that cause maximum power dissipation (maximum

More information

Flip-flop Clustering by Weighted K-means Algorithm

Flip-flop Clustering by Weighted K-means Algorithm Flip-flop Clustering by Weighted K-means Algorithm Gang Wu, Yue Xu, Dean Wu, Manoj Ragupathy, Yu-yen Mo and Chris Chu Department of Electrical and Computer Engineering, Iowa State University, IA, United

More information

Innovative Fast Timing Design

Innovative Fast Timing Design Innovative Fast Timing Design Solution through Simultaneous Processing of Logic Synthesis and Placement A new design methodology is now available that offers the advantages of enhanced logical design efficiency

More information

International Journal of Emerging Technologies in Computational and Applied Sciences (IJETCAS)

International Journal of Emerging Technologies in Computational and Applied Sciences (IJETCAS) International Association of Scientific Innovation and Research (IASIR) (An Association Unifying the Sciences, Engineering, and Applied Research) International Journal of Emerging Technologies in Computational

More information

Implementation of Scan Insertion and Compression for 28nm design Technology

Implementation of Scan Insertion and Compression for 28nm design Technology Implementation of Scan Insertion and Compression for 28nm design Technology 1 Mohan PVS, 2 Rajanna K.M 1 PG Student, Department of ECE, Dr. Ambedkar Institute of Technology, Bengaluru, India 2 Associate

More information

A Briefing on IEEE Standard Test Access Port And Boundary-Scan Architecture ( AKA JTAG )

A Briefing on IEEE Standard Test Access Port And Boundary-Scan Architecture ( AKA JTAG ) A Briefing on IEEE 1149.1 1990 Standard Test Access Port And Boundary-Scan Architecture ( AKA JTAG ) Summary With the advent of large Ball Grid Array (BGA) and fine pitch SMD semiconductor devices the

More information

Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow

Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow Bradley R. Quinton*, Mark R. Greenstreet, Steven J.E. Wilton*, *Dept. of Electrical and Computer Engineering, Dept.

More information

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits N.Brindha, A.Kaleel Rahuman ABSTRACT: Auto scan, a design for testability (DFT) technique for synchronous sequential circuits.

More information

nmos transistor Basics of VLSI Design and Test Solution: CMOS pmos transistor CMOS Inverter First-Order DC Analysis CMOS Inverter: Transient Response

nmos transistor Basics of VLSI Design and Test Solution: CMOS pmos transistor CMOS Inverter First-Order DC Analysis CMOS Inverter: Transient Response nmos transistor asics of VLSI Design and Test If the gate is high, the switch is on If the gate is low, the switch is off Mohammad Tehranipoor Drain ECE495/695: Introduction to Hardware Security & Trust

More information

Modifying the Scan Chains in Sequential Circuit to Reduce Leakage Current

Modifying the Scan Chains in Sequential Circuit to Reduce Leakage Current IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 3, Issue 1 (Sep. Oct. 2013), PP 01-09 e-issn: 2319 4200, p-issn No. : 2319 4197 Modifying the Scan Chains in Sequential Circuit to Reduce Leakage

More information

Investigation of Look-Up Table Based FPGAs Using Various IDCT Architectures

Investigation of Look-Up Table Based FPGAs Using Various IDCT Architectures Investigation of Look-Up Table Based FPGAs Using Various IDCT Architectures Jörn Gause Abstract This paper presents an investigation of Look-Up Table (LUT) based Field Programmable Gate Arrays (FPGAs)

More information

The Effect of Wire Length Minimization on Yield

The Effect of Wire Length Minimization on Yield The Effect of Wire Length Minimization on Yield Venkat K. R. Chiluvuri, Israel Koren and Jeffrey L. Burns' Department of Electrical and Computer Engineering University of Massachusetts, Amherst, MA 01003

More information

At-speed Testing of SOC ICs

At-speed Testing of SOC ICs At-speed Testing of SOC ICs Vlado Vorisek, Thomas Koch, Hermann Fischer Multimedia Design Center, Semiconductor Products Sector Motorola Munich, Germany Abstract This paper discusses the aspects and associated

More information

Reduction of Clock Power in Sequential Circuits Using Multi-Bit Flip-Flops

Reduction of Clock Power in Sequential Circuits Using Multi-Bit Flip-Flops Reduction of Clock Power in Sequential Circuits Using Multi-Bit Flip-Flops A.Abinaya *1 and V.Priya #2 * M.E VLSI Design, ECE Dept, M.Kumarasamy College of Engineering, Karur, Tamilnadu, India # M.E VLSI

More information

ADVANCES in semiconductor technology are contributing

ADVANCES in semiconductor technology are contributing 292 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 14, NO. 3, MARCH 2006 Test Infrastructure Design for Mixed-Signal SOCs With Wrapped Analog Cores Anuja Sehgal, Student Member,

More information

Clock Tree Power Optimization of Three Dimensional VLSI System with Network

Clock Tree Power Optimization of Three Dimensional VLSI System with Network Clock Tree Power Optimization of Three Dimensional VLSI System with Network M.Saranya 1, S.Mahalakshmi 2, P.Saranya Devi 3 PG Student, Dept. of ECE, Syed Ammal Engineering College, Ramanathapuram, Tamilnadu,

More information

Leakage Current Reduction in Sequential Circuits by Modifying the Scan Chains

Leakage Current Reduction in Sequential Circuits by Modifying the Scan Chains eakage Current Reduction in Sequential s by Modifying the Scan Chains Afshin Abdollahi University of Southern California (3) 592-3886 afshin@usc.edu Farzan Fallah Fujitsu aboratories of America (48) 53-4544

More information

Design of Routing-Constrained Low Power Scan Chains

Design of Routing-Constrained Low Power Scan Chains 1530-1591/04 $20.00 (c) 2004 IEEE Design of Routing-Constrained Low Power Scan Chains Y. Bonhomme 1 P. Girard 1 L. Guiller 2 C. Landrault 1 S. Pravossoudovitch 1 A. Virazel 1 1 Laboratoire d Informatique,

More information

Scan Chain Design for Power Minimization During Scan Testing Under Routing Constraint.

Scan Chain Design for Power Minimization During Scan Testing Under Routing Constraint. Efficient Scan Chain Design for Power Minimization During Scan Testing Under Routing Constraint Yannick Bonhomme, Patrick Girard, L. Guiller, Christian Landrault, Serge Pravossoudovitch To cite this version:

More information

A Novel Framework for Faster-than-at-Speed Delay Test Considering IR-drop Effects

A Novel Framework for Faster-than-at-Speed Delay Test Considering IR-drop Effects A Novel Framework for Faster-than-at-Speed Delay Test Considering IR-drop Effects Abstract Nisar Ahmed, Mohammad Tehranipoor Dept. of Electrical & Computer Engineering University of Connecticut tehrani@engr.uconn.edu

More information

UNIT IV CMOS TESTING. EC2354_Unit IV 1

UNIT IV CMOS TESTING. EC2354_Unit IV 1 UNIT IV CMOS TESTING EC2354_Unit IV 1 Outline Testing Logic Verification Silicon Debug Manufacturing Test Fault Models Observability and Controllability Design for Test Scan BIST Boundary Scan EC2354_Unit

More information

Verification of HBM through Direct Probing on MicroBumps

Verification of HBM through Direct Probing on MicroBumps Verification of HBM through Direct Probing on MicroBumps FormFactor Sung Wook Moon SK hynix Outline HBM market HBM test flow Device structure overview Key test challenges addressed Signal delivery and

More information

Interconnect Planning with Local Area Constrained Retiming

Interconnect Planning with Local Area Constrained Retiming Interconnect Planning with Local Area Constrained Retiming Ruibing Lu and Cheng-Kok Koh School of Electrical and Computer Engineering Purdue University,West Lafayette, IN, 47907, USA {lur, chengkok}@ecn.purdue.edu

More information

Page 1 of 6 Follow these guidelines to design testable ASICs, boards, and systems. (includes related article on automatic testpattern generation basics) (Tutorial) From: EDN Date: August 19, 1993 Author:

More information

Figure.1 Clock signal II. SYSTEM ANALYSIS

Figure.1 Clock signal II. SYSTEM ANALYSIS International Journal of Advances in Engineering, 2015, 1(4), 518-522 ISSN: 2394-9260 (printed version); ISSN: 2394-9279 (online version); url:http://www.ijae.in RESEARCH ARTICLE Multi bit Flip-Flop Grouping

More information

An FPGA Implementation of Shift Register Using Pulsed Latches

An FPGA Implementation of Shift Register Using Pulsed Latches An FPGA Implementation of Shift Register Using Pulsed Latches Shiny Panimalar.S, T.Nisha Priscilla, Associate Professor, Department of ECE, MAMCET, Tiruchirappalli, India PG Scholar, Department of ECE,

More information

MVP: Capture-Power Reduction with Minimum-Violations Partitioning for Delay Testing

MVP: Capture-Power Reduction with Minimum-Violations Partitioning for Delay Testing MVP: Capture-Power Reduction with Minimum-Violations Partitioning for Delay Testing Zhen Chen 1, Krishnendu Chakrabarty 2, Dong Xiang 3 1 Department of Computer Science and Technology, 3 School of Software

More information

Controlling Peak Power During Scan Testing

Controlling Peak Power During Scan Testing Controlling Peak Power During Scan Testing Ranganathan Sankaralingam and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering University of Texas, Austin,

More information

Addressing 80 µm pitch Cu Pillar Bump Wafer probing: Technoprobe TPEG MEMS solution

Addressing 80 µm pitch Cu Pillar Bump Wafer probing: Technoprobe TPEG MEMS solution Addressing 80 µm pitch Cu Pillar Bump Wafer probing: Technoprobe TPEG MEMS solution S. Angles STMicroelectronics R. Vallauri Technoprobe Background Overview ST Qualification of TPEG MEMS T3 probing technology

More information

Impact of Test Point Insertion on Silicon Area and Timing during Layout

Impact of Test Point Insertion on Silicon Area and Timing during Layout Impact of Test Point Insertion on Silicon Area and Timing during Layout Harald Vranken Ferry Syafei Sapei 2 Hans-Joachim Wunderlich 2 Philips Research Laboratories IC Design Digital Design & Test Prof.

More information

K.T. Tim Cheng 07_dft, v Testability

K.T. Tim Cheng 07_dft, v Testability K.T. Tim Cheng 07_dft, v1.0 1 Testability Is concept that deals with costs associated with testing. Increase testability of a circuit Some test cost is being reduced Test application time Test generation

More information

De-embedding Techniques For Passive Components Implemented on a 0.25 µm Digital CMOS Process

De-embedding Techniques For Passive Components Implemented on a 0.25 µm Digital CMOS Process PIERS ONLINE, VOL. 3, NO. 2, 27 184 De-embedding Techniques For Passive Components Implemented on a.25 µm Digital CMOS Process Marc D. Rosales, Honee Lyn Tan, Louis P. Alarcon, and Delfin Jay Sabido IX

More information

Retiming Sequential Circuits for Low Power

Retiming Sequential Circuits for Low Power Retiming Sequential Circuits for Low Power José Monteiro, Srinivas Devadas Department of EECS MIT, Cambridge, MA Abhijit Ghosh Mitsubishi Electric Research Laboratories Sunnyvale, CA Abstract Switching

More information

Low Power Implementation of Launch-Off- Shift and Launch-Off-Capture Using T-Algorithm

Low Power Implementation of Launch-Off- Shift and Launch-Off-Capture Using T-Algorithm Low Power Implementation of Launch-Off- Shift and Launch-Off-Capture Using T-Algorithm S.Akshaya 1, M.Divya 2, T.Indhumathi 3, T.Jaya Sree 4, T.Murugan 5 U.G. Student, Department of ECE, ACE College, Hosur,

More information

Report on 4-bit Counter design Report- 1, 2. Report on D- Flipflop. Course project for ECE533

Report on 4-bit Counter design Report- 1, 2. Report on D- Flipflop. Course project for ECE533 Report on 4-bit Counter design Report- 1, 2. Report on D- Flipflop Course project for ECE533 I. Objective: REPORT-I The objective of this project is to design a 4-bit counter and implement it into a chip

More information

HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP

HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP 1 R.Ramya, 2 C.Hamsaveni 1,2 PG Scholar, Department of ECE, Hindusthan Institute Of Technology,

More information

Unit V Design for Testability

Unit V Design for Testability Unit V Design for Testability Outline Testing Logic Verification Silicon Debug Manufacturing Test Fault Models Observability and Controllability Design for Test Scan BIST Boundary Scan Slide 2 Testing

More information

Efficient Combination of Trace and Scan Signals for Post Silicon Validation and Debug

Efficient Combination of Trace and Scan Signals for Post Silicon Validation and Debug Efficient Combination of Trace and Scan Signals for Post Silicon Validation and Debug Kanad Basu, Prabhat Mishra Computer and Information Science and Engineering University of Florida, Gainesville FL 32611-6120,

More information

A Greedy Heuristic Algorithm for Flip-Flop Replacement Power Reduction in Digital Integrated Circuits

A Greedy Heuristic Algorithm for Flip-Flop Replacement Power Reduction in Digital Integrated Circuits A Greedy Heuristic Algorithm for Flip-Flop Replacement Power Reduction in Digital Integrated Circuits C.N.Kalaivani 1, Ayswarya J.J 2 Assistant Professor, Dept. of ECE, Dhaanish Ahmed College of Engineering,

More information

Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky,

Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky, Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky, tomott}@berkeley.edu Abstract With the reduction of feature sizes, more sources

More information

Future of Analog Design and Upcoming Challenges in Nanometer CMOS

Future of Analog Design and Upcoming Challenges in Nanometer CMOS Future of Analog Design and Upcoming Challenges in Nanometer CMOS Greg Taylor VLSI Design 2010 Outline Introduction Logic processing trends Analog design trends Analog design challenge Approaches Conclusion

More information

Transforming Electronic Interconnect Breaking through historical boundaries Tim Olson Founder & CTO

Transforming Electronic Interconnect Breaking through historical boundaries Tim Olson Founder & CTO Transforming Electronic Interconnect Breaking through historical boundaries Tim Olson Founder & CTO Remember when? There were three distinct industries Wafer Foundries SATS EMS Semiconductor Devices Nanometers

More information

Failure Analysis Technology for Advanced Devices

Failure Analysis Technology for Advanced Devices ISHIYAMA Toshio, WADA Shinichi, KUZUMI Hajime, IDE Takashi Abstract The sophistication of functions, miniaturization and reduced weight of household appliances and various devices have been accelerating

More information

Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test

Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test Mark McDermott Electrical and Computer Engineering The University of Texas at Austin Agenda Introduction to testing Logical

More information

Low-Power Scan Testing and Test Data Compression for System-on-a-Chip

Low-Power Scan Testing and Test Data Compression for System-on-a-Chip IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 21, NO. 5, MAY 2002 597 Low-Power Scan Testing and Test Data Compression for System-on-a-Chip Anshuman Chandra, Student

More information

Testability: Lecture 23 Design for Testability (DFT) Slide 1 of 43

Testability: Lecture 23 Design for Testability (DFT) Slide 1 of 43 Testability: Lecture 23 Design for Testability (DFT) Shaahin hi Hessabi Department of Computer Engineering Sharif University of Technology Adapted, with modifications, from lecture notes prepared p by

More information

Powerful Software Tools and Methods to Accelerate Test Program Development A Test Systems Strategies, Inc. (TSSI) White Paper.

Powerful Software Tools and Methods to Accelerate Test Program Development A Test Systems Strategies, Inc. (TSSI) White Paper. Powerful Software Tools and Methods to Accelerate Test Program Development A Test Systems Strategies, Inc. (TSSI) White Paper Abstract Test costs have now risen to as much as 50 percent of the total manufacturing

More information

VLSI System Testing. BIST Motivation

VLSI System Testing. BIST Motivation ECE 538 VLSI System Testing Krish Chakrabarty Built-In Self-Test (BIST): ECE 538 Krish Chakrabarty BIST Motivation Useful for field test and diagnosis (less expensive than a local automatic test equipment)

More information

University College of Engineering, JNTUK, Kakinada, India Member of Technical Staff, Seerakademi, Hyderabad

University College of Engineering, JNTUK, Kakinada, India Member of Technical Staff, Seerakademi, Hyderabad Power Analysis of Sequential Circuits Using Multi- Bit Flip Flops Yarramsetti Ramya Lakshmi 1, Dr. I. Santi Prabha 2, R.Niranjan 3 1 M.Tech, 2 Professor, Dept. of E.C.E. University College of Engineering,

More information

Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA

Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA M.V.M.Lahari 1, M.Mani Kumari 2 1,2 Department of ECE, GVPCEOW,Visakhapatnam. Abstract The increasing growth of sub-micron

More information

Pulsed-Latch ASIC Synthesis in Industrial Design Flow

Pulsed-Latch ASIC Synthesis in Industrial Design Flow Pulsed-Latch AC Synthesis in Industrial Design Flow Sangmin Kim, Duckhwan Kim, and Youngsoo Shin Departmt of Electrical Engineering, KAIST Daejeon 35-71, Korea Abstract Flip-flop has long be used as a

More information

Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Lecture No. # 29 Minimizing Switched Capacitance-III. (Refer

More information

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September-2014 917 The Power Optimization of Linear Feedback Shift Register Using Fault Coverage Circuits K.YARRAYYA1, K CHITAMBARA

More information

EEC 116 Fall 2011 Lab #5: Pipelined 32b Adder

EEC 116 Fall 2011 Lab #5: Pipelined 32b Adder EEC 116 Fall 2011 Lab #5: Pipelined 32b Adder Dept. of Electrical and Computer Engineering University of California, Davis Issued: November 2, 2011 Due: November 16, 2011, 4PM Reading: Rabaey Sections

More information

Digital Integrated Circuits Lecture 19: Design for Testability

Digital Integrated Circuits Lecture 19: Design for Testability Digital Integrated Circuits Lecture 19: Design for Testability Chih-Wei Liu VLSI Signal Processing LAB National Chiao Tung University cwliu@twins.ee.nctu.edu.tw DIC-Lec19 cwliu@twins.ee.nctu.edu.tw 1 Outline

More information

Performance Driven Reliable Link Design for Network on Chips

Performance Driven Reliable Link Design for Network on Chips Performance Driven Reliable Link Design for Network on Chips Rutuparna Tamhankar Srinivasan Murali Prof. Giovanni De Micheli Stanford University Outline Introduction Objective Logic design and implementation

More information

Achieving Faster Time to Tapeout with In-Design, Signoff-Quality Metal Fill

Achieving Faster Time to Tapeout with In-Design, Signoff-Quality Metal Fill White Paper Achieving Faster Time to Tapeout with In-Design, Signoff-Quality Metal Fill May 2009 Author David Pemberton- Smith Implementation Group, Synopsys, Inc. Executive Summary Many semiconductor

More information

Scan-shift Power Reduction Based on Scan Partitioning and Q-D Connection

Scan-shift Power Reduction Based on Scan Partitioning and Q-D Connection Scan-shift Power Reduction Based on Scan Partitioning and Q-D Connection Tiebin Wu, Li Zhou and Hengzhu Liu College of Computer, National University of Defense Technology Changsha, China e-mails: {tiebinwu@126.com,

More information

COPY RIGHT. To Secure Your Paper As Per UGC Guidelines We Are Providing A Electronic Bar Code

COPY RIGHT. To Secure Your Paper As Per UGC Guidelines We Are Providing A Electronic Bar Code COPY RIGHT 2018IJIEMR.Personal use of this material is permitted. Permission from IJIEMR must be obtained for all other uses, in any current or future media, including reprinting/republishing this material

More information

Efficient Trace Signal Selection for Post Silicon Validation and Debug

Efficient Trace Signal Selection for Post Silicon Validation and Debug Efficient Trace Signal Selection for Post Silicon Validation and Debug Kanad Basu and Prabhat Mishra Computer and Information Science and Engineering University of Florida, ainesville FL 32611-6120, USA

More information

An Experiment to Compare AC Scan and At-Speed Functional Testing

An Experiment to Compare AC Scan and At-Speed Functional Testing An Experiment to Compare AC Scan and At-Speed Functional Testing Peter Maxwell, Ismed Hartanto and Lee Bentz Integrated Circuit Business Division Agilent Technologies ABSTRACT This paper describes an experimental

More information

Minimizing Peak Power Consumption during Scan Testing: Test Pattern Modification with X Filling Heuristics

Minimizing Peak Power Consumption during Scan Testing: Test Pattern Modification with X Filling Heuristics Minimizing Peak Power Consumption during Scan Testing: Test Pattern Modification with X Filling Heuristics Nabil Badereddine Patrick Girard Serge Pravossoudovitch Christian Landrault Arnaud Virazel Laboratoire

More information

Optimizing area of local routing network by reconfiguring look up tables (LUTs)

Optimizing area of local routing network by reconfiguring look up tables (LUTs) Vol.2, Issue.3, May-June 2012 pp-816-823 ISSN: 2249-6645 Optimizing area of local routing network by reconfiguring look up tables (LUTs) Sathyabhama.B 1 and S.Sudha 2 1 M.E-VLSI Design 2 Dept of ECE Easwari

More information

Using Embedded Dynamic Random Access Memory to Reduce Energy Consumption of Magnetic Recording Read Channel

Using Embedded Dynamic Random Access Memory to Reduce Energy Consumption of Magnetic Recording Read Channel IEEE TRANSACTIONS ON MAGNETICS, VOL. 46, NO. 1, JANUARY 2010 87 Using Embedded Dynamic Random Access Memory to Reduce Energy Consumption of Magnetic Recording Read Channel Ningde Xie 1, Tong Zhang 1, and

More information

Australian Journal of Basic and Applied Sciences. Design of SRAM using Multibit Flipflop with Clock Gating Technique

Australian Journal of Basic and Applied Sciences. Design of SRAM using Multibit Flipflop with Clock Gating Technique ISSN:1991-8178 Australian Journal of Basic and Applied Sciences Journal home page: www.ajbasweb.com Design of SRAM using Multibit Flipflop with Clock Gating Technique 1 Divya R. and 2 Hemalatha K.L. 1

More information

SoC IC Basics. COE838: Systems on Chip Design

SoC IC Basics. COE838: Systems on Chip Design SoC IC Basics COE838: Systems on Chip Design http://www.ee.ryerson.ca/~courses/coe838/ Dr. Gul N. Khan http://www.ee.ryerson.ca/~gnkhan Electrical and Computer Engineering Ryerson University Overview SoC

More information

VLSI Design: 3) Explain the various MOSFET Capacitances & their significance. 4) Draw a CMOS Inverter. Explain its transfer characteristics

VLSI Design: 3) Explain the various MOSFET Capacitances & their significance. 4) Draw a CMOS Inverter. Explain its transfer characteristics 1) Explain why & how a MOSFET works VLSI Design: 2) Draw Vds-Ids curve for a MOSFET. Now, show how this curve changes (a) with increasing Vgs (b) with increasing transistor width (c) considering Channel

More information

Practical De-embedding for Gigabit fixture. Ben Chia Senior Signal Integrity Consultant 5/17/2011

Practical De-embedding for Gigabit fixture. Ben Chia Senior Signal Integrity Consultant 5/17/2011 Practical De-embedding for Gigabit fixture Ben Chia Senior Signal Integrity Consultant 5/17/2011 Topics Why De-Embedding/Embedding? De-embedding in Time Domain De-embedding in Frequency Domain De-embedding

More information

Overview: Logic BIST

Overview: Logic BIST VLSI Design Verification and Testing Built-In Self-Test (BIST) - 2 Mohammad Tehranipoor Electrical and Computer Engineering University of Connecticut 23 April 2007 1 Overview: Logic BIST Motivation Built-in

More information

Scan Chain Reordering-aware X-Filling and Stitching for Scan Shift Power Reduction

Scan Chain Reordering-aware X-Filling and Stitching for Scan Shift Power Reduction 2015 2015 IEEE Asian 24th Asian Test Symposium Test Symposium Scan Chain Reordering-aware X-Filling and Stitching for Scan Shift Power Reduction Sungyoul Seo 1, Yong Lee 1, Hyeonchan Lim 1, Joohwan Lee

More information

Wafer Thinning and Thru-Silicon Vias

Wafer Thinning and Thru-Silicon Vias Wafer Thinning and Thru-Silicon Vias The Path to Wafer Level Packaging jreche@trusi.com Summary A new dry etching technology Atmospheric Downstream Plasma (ADP) Etch Applications to Packaging Wafer Thinning

More information

High Performance TFT LCD Driver ICs for Large-Size Displays

High Performance TFT LCD Driver ICs for Large-Size Displays Name: Eugenie Ip Title: Technical Marketing Engineer Company: Solomon Systech Limited www.solomon-systech.com The TFT LCD market has rapidly evolved in the last decade, enabling the occurrence of large

More information

Post-Routing Layer Assignment for Double Patterning

Post-Routing Layer Assignment for Double Patterning Post-Routing Layer Assignment for Double Patterning Jian Sun 1, Yinghai Lu 2, Hai Zhou 1,2 and Xuan Zeng 1 1 Micro-Electronics Dept. Fudan University, China 2 Electrical Engineering and Computer Science

More information

3D IC Test through Power Line Methodology. Alberto Pagani

3D IC Test through Power Line Methodology. Alberto Pagani 3D IC Test through Power Line Methodology Alberto Pagani Outline 2 Power Line Communication (PLC) approach 2D Test architecture through PLC Advantages Methodology Feasibility Study Rx test chip for digital

More information

LFSR Counter Implementation in CMOS VLSI

LFSR Counter Implementation in CMOS VLSI LFSR Counter Implementation in CMOS VLSI Doshi N. A., Dhobale S. B., and Kakade S. R. Abstract As chip manufacturing technology is suddenly on the threshold of major evaluation, which shrinks chip in size

More information

Lecture 23 Design for Testability (DFT): Full-Scan

Lecture 23 Design for Testability (DFT): Full-Scan Lecture 23 Design for Testability (DFT): Full-Scan (Lecture 19alt in the Alternative Sequence) Definition Ad-hoc methods Scan design Design rules Scan register Scan flip-flops Scan test sequences Overheads

More information

DIFFERENTIAL CONDITIONAL CAPTURING FLIP-FLOP TECHNIQUE USED FOR LOW POWER CONSUMPTION IN CLOCKING SCHEME

DIFFERENTIAL CONDITIONAL CAPTURING FLIP-FLOP TECHNIQUE USED FOR LOW POWER CONSUMPTION IN CLOCKING SCHEME DIFFERENTIAL CONDITIONAL CAPTURING FLIP-FLOP TECHNIQUE USED FOR LOW POWER CONSUMPTION IN CLOCKING SCHEME Mr.N.Vetriselvan, Assistant Professor, Dhirajlal Gandhi College of Technology Mr.P.N.Palanisamy,

More information

A Novel Low-overhead Delay Testing Technique for Arbitrary Two-Pattern Test Application

A Novel Low-overhead Delay Testing Technique for Arbitrary Two-Pattern Test Application A Novel Low-overhead elay Testing Technique for Arbitrary Two-Pattern Test Application Swarup Bhunia, Hamid Mahmoodi, Arijit Raychowdhury, and Kaushik Roy School of Electrical and Computer Engineering,

More information

Placement Rent Exponent Calculation Methods, Temporal Behaviour, and FPGA Architecture Evaluation. Joachim Pistorius and Mike Hutton

Placement Rent Exponent Calculation Methods, Temporal Behaviour, and FPGA Architecture Evaluation. Joachim Pistorius and Mike Hutton Placement Rent Exponent Calculation Methods, Temporal Behaviour, and FPGA Architecture Evaluation Joachim Pistorius and Mike Hutton Some Questions How best to calculate placement Rent? Are there biases

More information

Gated Driver Tree Based Power Optimized Multi-Bit Flip-Flops

Gated Driver Tree Based Power Optimized Multi-Bit Flip-Flops International Journal of Emerging Engineering Research and Technology Volume 2, Issue 4, July 2014, PP 250-254 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) Gated Driver Tree Based Power Optimized Multi-Bit

More information

Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques

Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques Akkala Suvarna Ratna M.Tech (VLSI & ES), Department of ECE, Sri Vani School of Engineering, Vijayawada. Abstract: A new

More information

A New Approach to Design Fault Coverage Circuit with Efficient Hardware Utilization for Testing Applications

A New Approach to Design Fault Coverage Circuit with Efficient Hardware Utilization for Testing Applications A New Approach to Design Fault Coverage Circuit with Efficient Hardware Utilization for Testing Applications S. Krishna Chaitanya Department of Electronics & Communication Engineering, Hyderabad Institute

More information

Novel Pulsed-Latch Replacement Based on Time Borrowing and Spiral Clustering

Novel Pulsed-Latch Replacement Based on Time Borrowing and Spiral Clustering Novel Pulsed-Latch Replacement Based on Time Borrowing and Spiral Clustering NCTU CHIH-LONG CHANG IRIS HUI-RU JIANG YU-MING YANG EVAN YU-WEN TSAI AKI SHENG-HUA CHEN IRIS Lab National Chiao Tung University

More information

data and is used in digital networks and storage devices. CRC s are easy to implement in binary

data and is used in digital networks and storage devices. CRC s are easy to implement in binary Introduction Cyclic redundancy check (CRC) is an error detecting code designed to detect changes in transmitted data and is used in digital networks and storage devices. CRC s are easy to implement in

More information

IMPLEMENTATION OF X-FACTOR CIRCUITRY IN DECOMPRESSOR ARCHITECTURE

IMPLEMENTATION OF X-FACTOR CIRCUITRY IN DECOMPRESSOR ARCHITECTURE IMPLEMENTATION OF X-FACTOR CIRCUITRY IN DECOMPRESSOR ARCHITECTURE SATHISHKUMAR.K #1, SARAVANAN.S #2, VIJAYSAI. R #3 School of Computing, M.Tech VLSI design, SASTRA University Thanjavur, Tamil Nadu, 613401,

More information

Abstract 1. INTRODUCTION. Cheekati Sirisha, IJECS Volume 05 Issue 10 Oct., 2016 Page No Page 18532

Abstract 1. INTRODUCTION. Cheekati Sirisha, IJECS Volume 05 Issue 10 Oct., 2016 Page No Page 18532 www.ijecs.in International Journal Of Engineering And Computer Science ISSN: 2319-7242 Volume 5 Issue 10 Oct. 2016, Page No. 18532-18540 Pulsed Latches Methodology to Attain Reduced Power and Area Based

More information