A Survey on Post-Placement Techniques of Multibit Flip-Flops

Size: px
Start display at page:

Download "A Survey on Post-Placement Techniques of Multibit Flip-Flops"

Transcription

1 International Journal of Engineering Research and Development e-issn: X, p-issn: X, Volume 10, Issue 3 (March 2014), PP A Survey on Post-Placement Techniques of Multibit Flip-Flops S.Krishna priya 1, P.Muthu Krishnammal 2, B.Sivaranjini 3 1 Department of ECE, M.Tech VLSI Design, Sathyabama University, Tamilnadu, India. 2 Department of ECE, Assistant professor, Sathyabama University, Tamilnadu, India. 3 Department of ECE, M.Tech VLSI Design, sathyabama university, Tamilnadu, India. Abstract:- Power reduction has become a vital design goal for sophisticated design applications, whether mobile or not. Researchers have shown that multi-bit flip-flop is an effective method for clock power consumption reduction.the underlying idea behind multi-bit flip-flop method is to eliminate total inverter number by sharing the inverters in the flip-flops. The locations of some flip-flops would be changed after this replacement, and thus the wire lengths of nets connecting pins to a flip-flop are also changed. To avoid violating the timing constraints, we restrict that the wire lengths of nets connecting pins to a flip-flop cannot be longer than specified values after this process.the identification of merge able flip-flops, we transform the coordinate system of cells. In this way, the memory used to record the feasible placement region can also be reduced. Then, we will show how to implement multi-bit flip-flop methodology by XILINX Design Compiler. Experimental results indicate that multi-bit flip-flop is very effective and efficient method in lower-power designs Keywords:- Power consumption, clock network, multi-bit flip-flops, Post- placement I. INTRODUCTION Portable multimedia and communication devices have experienced explosive growth recently. Longer battery life is one of the crucial factors in the widespread success of these products. As such, low-power circuit design for multimedia and wireless communication applications has become very important. In many such products, multi-bit flipflops and delay buffers (line buffers, delay lines) make up a significant portion of their circuits. Reducing the power consumption not only can enhance battery life but also can avoid the overheating problem, which would increase the difficulty of packaging or cooling. Therefore, the consideration of power consumption in complex SOCs has become a big challenge to designers. Moreover, in modern VLSI designs,power consumed by clocking has taken a major part of the whole design especially for those designs using deeply scaled CMOS technologies. Thus, several methodologies have been proposed to reduce the power consumption of clocking. Besides, for a design when considering power consumption, smaller flip-flops are replaced by larger multi-bit flip-flops, device variations in the corresponding circuit can be effectively reduced. Fig.1 Maximum loading number of a minimum-sized inverter of different technologies As CMOS technology progresses, the driving capability of an inverter-based clock buffer increases significantly. The driving capability of a clock buffer can be evaluated by the number of minimum-sized inverters that it can drive on a given rising or falling time. Fig. 1 shows the maximum number of minimumsized inverters that can be driven by a clock buffer in different processes. Because of this phenomenon, several flip-flops can share a common clock buffer to avoid unnecessary power waste. However, the locations of some flip-flops would be changed after this replacement, and thus the wirelengths of nets connecting pins to a flipflop are also changed. To avoid violating the timing constraints, we restrict that the wire lengths of nets 11

2 connecting pins to a flip-flop cannot be longer than specified values after this process. Besides, to guarantee that a new flipflop can be placed within the desired region, we also need to consider the area capacity of the region. II. MULTI BIT FLIP-FLOP CONCEPT In this section, we will introduce multi-bit flip-flop conception. Before that, we will review single-bit flip-flop. Figure 2 shows an example of single-bit flip-flop. A single-bit flip-flop has two latches (Master latch and slave latch). The latches need Clk and Clk signal to perform operations, such as Figure2 shows. Fig 2: Single-Bit Flip-Flop In order to have better delay from Clk-> Q, we will regenerate Clk from Clk. Hence we will have two inverters in the clock path. Figure 3 shows an example of merging two 1-bit flip-flops into one 2-bit flipflop. Each 1- bit flip-flop contains two inverters, master-latch and slave-latch. Fig 3: An example of merging two 1-bit flip-flops into one 2-bit flip-flop. Due to the manufacturing rules, inverters in flip-flops tend to be oversized. As the process technology advances into smaller geometry nodes like 65nm and beyond, the minimum size of clock drivers can drive more than one flip-flop. Merging single-bit flip-flops into one multi-bit flip-flop can avoid duplicate inverters, and lower the total clock dynamic power consumption. The total area contributing to flip-flops can be reduced as well. By using multi-bit flip-flop to implement ASIC design, users can enjoy the following benefits: Lower power consumption by the clock in sequential banked components Smaller area and delay, due to shared transistors and optimized transistor-level layout. III. MULTI BIT FLIP-FLOP METHODOLOGY In the section, we will introduce that how to use Design Compiler and Faraday s multi-bit flip-flop to implement ASIC design. 12

3 A) The criteria of using multi-bit flip-flop Multi-bit flip-flop cells are capable of decreasing the power consumption because they have shared Inverter inside the flip-flop. Meanwhile, they can minimize clock skew at the same time. To obtain these benefits, the ASIC design must meet the following requirements. The single-bit flip-flops we want to replace with multi-bit flip-flop must have same clock condition and same set/reset condition. For post-placement optimization with MBFFs, the previous works separated MBFF Gen. & Placement in Fig. 2(c) into two steps: 1) flip-flop merging, and 2) MBFF placement, based on different design objectives. During flipflop merging, both tried to minimize total flipflop power consumption, while proposed to minimize the number of clock sinks (i.e., the total flip-flop number) and net switching power (i.e., the total weighted wirelength). During MBFF placement, proposed to minimize total wirelength, and considered the minimization of net switching power. In this paper, we address the problem of power optimization with MBFFs at the post-placement stage. We present a new problem formulation for the application of multi-bit flipflops, which simultaneously minimize total flip-flop power consumption and interconnecting wirelength such that both placement density and timing slack constraints are satisfied. Based on the problem formulation, we propose a novel postplacement power optimization flow together with the flip-flop grouping and MBFF placement algorithms to solve the addressed problem. We formulate the flip-flop grouping problem as the m-clique finding and maximum-independent-set subproblems. Finally, we introduce the progressive window-based optimization technique to reduce placement deviation and improve runtime efficiency of our algorithms. Experimental results show that our approach is very effective in reducing not only flip-flop power consumption but also clock tree and signal net wirelength when applying multi-bit flip-flops to a design at the post-placement stage. IV. PROPOSED ALGORITHMS we propose our algorithms to simultaneously reduce total flipflop power consumption and interconnecting wirelength at the post-placement stage.first of all, the MBFF consumption of an MBFF cell divided by its bit number,pfmonce the MBFF cells in the cell library are sorted, the most power-efficient MBFF cell is then iteratively extracted. Our algorithms always replace a group of flip-flops with the most powerefficient MBFF cell during the optimization. After an m-bit flip-flop cell is extracted, two major steps, including flip-flop grouping and MBFF creation and placement, in the flow will be performed based on the technique of progressive window based optimization. The first step finds a set of m-bit flip-flop groups in the design while the second step determines the position of each m-bit flip-flop group and verifies the legality of the position. A legal position of an m bit flip-flop group means that placing an m-bit flip-flop cell at the position does not violate any aforementioned design constraints. If the position for an m-bit flip-flop group is legal, an m-bit flipflop cell is then created to merge all the flipflops in the m-bit flip-flop group. Otherwise, the flip-flops in the m- bit flip-flop group cannot be merged. A. Grouping of Flip-Flops When grouping a set of flip-flips, the timing slacking constraints between any flip-flop and all its connected pins should be first considered. According to the timing slacking constraints, we explore all possible combinations of flip-flop groups for flip-flop merging. Finally, we try to select maximal cells in the cell library are sorted in ascending order with respect to the flip-flop power consumption per bit non-conflicted flip-flop groups from all the combinations. 1) Consideration of Timing Slack Constraints: Based on every flip-flop should be placed in the timing-slack-freeregion which is defined as follows. Definition 1: A timing-slack-free region (TSFR) of a flipflop is a region where the flip-flop is placed within the maximum allowable distance from its connected pins such that the timing slack constraints are satisfied. Fig. 5(a) illustrates the TSFR of f2 which is a tilted rectangular region intersected by the Manhattan rings of p1 and p2. Every point on the Manhattan ring of p1 (p2) has the same Manhattan distance from p1 (p2), which is equal 13

4 Fig. 5. (a) Timing-slack-free region of the flip-flop, f2. (b) Timing-slackfree regions of the flip-flops, f1, f2,..., and f6. to dmax(p1, f2) (dmax(p2, f2)). Fig. 5(b) further shows all the TSFRs of f1, f2,..., and f6 in the same design. According to the definition of the TSFR, a set of flip-flops can be grouped and replaced by an MBFF if there exists an intersection region of the TSFRs of all the flip-flops. In Fig. 5(b), f2 and f5 cannot be grouped and merged by an MBFF since the TSFRs of f2 and f5 are independent without any intersection. On the contrary, f1 and f2 can be grouped and merged by an MBFF because the merged MBFF can be placed in the intersection of the TSFRs of f1 and f2 such that the timing slack constraint of the merged MBFF is met. Such flip-flop group of f1 and f2 is called a timing-slack-free group which is defined in the following. Definition 2: A timing-slack-free group (TSFG) is a flipflop group in which all the flip-flops can be merged by an MBFF such that the timing slack constraints between the MBFF and all its connected pin are satisfied. 2) Exploration of m-bit Flip-Flop Groups: Before exploring the m-bit TSFGs in a design, we construct the TSFR intersection graph which is defined in Definition 3. Fig. 6(a) shows The TSFR intersection graph representing the relationship of the TSFRs in Fig. 5(b). The vertices, v1, v2,..., v6, represent the six flip-flops, f1, f2,..., f6, respectively. If there is an intersection between the TSFRs of two flip-flops, there is an edge between the corresponding vertices. G(V, E), where each vertex, vi, corresponds to a flip-flop, fi, in the design, and an edge, eij, between vi and vj exists if there is an intersection between the TSFRs of fi and fj. Once the TSFR intersection graph of a design is constructed, we can explore all the m-bit TSFGs in the design by finding all the m-cliques in the TSFR intersection graph. Each m-clique in The graph corresponds to an m-bit TSFG. The problem of finding all m- cliques in the graph can be well solved by applying the branch-and-bound and backtracking algorithms using a search tree as shown in Fig. 6. From the example, we can find all 4-cliques, including {n1, n2, n3, n4} and {n1, n3, n4, n6}, in the graph. Consequently, the set of 4-bit TSFGs, G4, of the design in Fig. 5(b) contains two TSFGs, {g41, g42}, where g4 g1 = {f1, f2, f3, f4} and g4 g2 = {f1, f3, f4, f6}. 3) Selection of Flip-Flop Groups: After exploring theset of m-bit TSFGs of a design denoted by Gm = {gm1, gm2,..., gmk}, the next problem is how to select the maximum number of non-conflict m-bit TSFGs for more Fig. 6. (a) TSFR intersection graph representing the relationship among the TSFRs in Fig. 5(b). (b) Branch-and-bound and backtracking algorithms which find all 4-vertex cliques in (a). 14

5 Power saving and wirelength reduction. The selection of nonconflict TSFGs can be formulated by finding the maximum independent set (MIS) in Gm. In the previous example, the MIS in G4 is either {g41} or {g42} since f1, f3, and f4 belong to both g41 and g42. The independent set of TSFGs is defined as follows. B. Placement of Flip-Flop Groups Once the IS of TSFGs is obtained, a proper location for the MBFF corresponding to a TSFG should be searched. Fig. 7. (a) Original coordinate system. (b) Transformed coordinate system. Fig. 8. Example of converting the design in Fig. 5(b) from the original coordinate system into the transformed coordinate system. The intersection denotes the valid placement region of the TSFG, g42 = {f1, f3, f4, f6}. Fig. 9. Placement area of an MBFF with the consideration of interconnecting wire length. (a) Placement area bounded by the median coordinates of the eight pins. (b) Enlarged placement area when placing an MBFF in the area in (a) is not feasible. This sub section, the transformation of the coordinate system is first introduced to improve the computational efficiency when calculating the intersection of several TSFRs. The placement bins and grids are then searched for each MBFF corresponding to a TSFG according to the intersection of TSFRs. When finding a placement bin or a placement grid for each MBFF, we try to minimize the interconnecting wirelength while satisfying the placement density constraint. 1) Transformation of Coordinate System: According to Definitions 1 and 2, the MBFF corresponding to a TSFG should be placed within the intersection of the TSFRs of all the flip-flops in the TSFG. Since all the TSFRs are tilted in 45 with respect to the placement coordinate system, the intersection of the TSFRs is also tilted in 45. To efficiently calculated the coordinates of the intersection from the coordinates of the TSFRs, we transform the coordinate system based on the transfer functions defined in (4). The difference between the original and the transformed coordinate systems 15

6 is demonstrated in Fig. 7. Both coordinate systems can be transformed back and forth based on the transfer and inverse transfer functions in _Xtrans = Xorig Yorig Ytrans = Yorig + Xorig _ Xorig = (Xtrans + Ytrans)/2 Yorig = (Ytrans Xtrans)/2. Fig. 8 further shows an example of converting the design in Fig. 5(b) into the transformed coordinate system. After the transformation, all the tilted rectangular regions of the TSFRs become non-tilted. Consequently, it becomes much Fig. 10. Placement area of an MBFF with the consideration of interconnecting wirelength. (a) Placement area bounded by the median coordinates of the eight pins. (b) Enlarged placement area when placing an MBFF in the area in (a) is not feasible Easier to calculate the coordinates of the intersection of the TSFRs of all flip-flops in the TSFG, g42 = {f1, f3, f4,, f6}. Once the coordinates of the intersection region in Fig. 8 are calculated in the transformed coordinate system, they should be transformed back to the original coordinate system such that the coordinates of the tilted rectangular placement region in the original coordinate system can be obtained. 2) Consideration of Placement Density: To find a legal placement for an MBFF corresponding to a TSFG within the tilted rectangular placement region, or the TSFR of the MBFF, only the placement bins covered by the tilted rectangular placement region should be considered. To collect all the placement bins, the bins intersected by each boundary of the tilted rectangular placement region should be first identified as shown in Fig. 9(a) and (b). The bins surrounded by these intersected bins can be found and collected accordingly. To better consider the placement density during MBFF placement, the bin with the lowest placement density is chosen to accommodate the MBFF corresponding to a TSFG. If there is no valid placement grid within the bin, the bin with the second lowest placement density is then chosen. The grid searching process is repeated until a valid placement grid for the MBFF is found. 3) Consideration of Interconnecting Wire length: In addition to considering the placement densities of the bins within the TSFR of an MBFF corresponding to a TSFG, it is also required to minimize the interconnecting wirelength when placing the MBFF. To find a position for the MBFF with shorter wirelength, the area bounded by the median coordinates of all pins connected to the MBFF is first considered as shown in Fig. 10(a). In this example, the median coordinates of the eight pins are xp4, xp5, yp4, and yp8 in both axes. Once the area bounded by the median coordinates of all pins is obtained, a grid-searching process is performed to find a valid placement grid. During the grid-searching process, the bin with the lowest placement density, which contains grids inside the TSFR and the bounded area of the median coordinates of all pins, is first chosen. For example, in Fig. 11(a), the bin, b22, is 16

7 Fig. 11. Example of finding valid placement grids during grid-searching process. (a) Bins containing placement grids inside both the TSFR of the MBFF and the area bounded by the median coordinates of all pins connected to the MBFF. (b) All possible placement grids in the bin, b22. The one with the lowest placement density. A valid placement grid is then searched among all possible placement grids in b22 as shown in Fig. 11(b). If there is no valid placement grid in the bin intersected by both the area bounded by the coordinates of the pins and the TSFR, or the tilted rectangular placement region, the area bounded by the coordinates of the pins is enlarged to the next pitch which is the closest one from the current pitches. In Fig. 10(b), yp1 is the closest pitch from yp8 compared with all the other neighboring pitches. The enlarged area for wirelength minimization is then surrounded by xp4, xp5, yp4, and yp1. The process is continued until a valid placement grid for the MBFF is found. V. CONCLUSION In this paper, we presented a new problem formulation of post-placement optimization with MBFFs to optimize the power consumption of the clock network. Based on the problem formulation, we proposed flip-flop grouping and MBFF placement algorithms to simultaneously minimize flip-flop power consumption and interconnecting wirelength such that both placement density and timing slack constraints are satisfied. Using Multi-Bit Flip-flop in combination with gated tree drive is an effective and efficient implementation methodology to reduce the power consumption by merging single-bit flip-flop. In this paper, we have implemented design with XILINX Design Compiler and Faraday s multi-bit flip-flop. Experimental results indicate that multi-bit flip-flop is very effective and efficient method in lowerpower designs. We will use this methodology to implement real ASIC project in the future REFERENCES [1]. Cheon.Y, P.H. Ho, A.B. Kahng, S. Reda, andq. Wang. Power-aware placement. In Design Automation Conference, pages , [2]. Donno. M, A. Ivaldi, L. Benini, and E. Macii. Clock-tree power optimization based on RTL clockgating. In Design Automation Conference, pages , [3]. Gronowski.P, W. J. Bowhill, R. P. Preston, M. K. Gowan, and R. L.Allmon, High-performance microprocessor design, IEEE J. Solid- StateCircuits, vol. 33, no. 5, pp , May 1998 [4]. GaneshBabu.C and P.T.Vanathi, Performance Analysis of Voice Activity Detection Algorithm for Robust Speech Recognition System under Different Noisy Environment, Journal of Scientific & Industrial Research, Vol.69, PP , July [5]. Hou.W, D. Liu, and P.H. Ho. Automatic register banking for low-power clock trees. In International Symposium on Quality Electronic Design, pages , [6]. Liu.D and C. Svensson, Power consumption estimation in CMOS VLSI chips, IEEE J. Solid-State Circuits, vol. 29, no. 6, pp , Jun [7]. Lalith Kumar.T and R.SundarRajan, Speech Enhancement using Adaptive Filters, VSRD-JJEEE, Vol.2 (2), PP.92-99, [8]. Lua.Y, C.N. Sze, X. Hong, Q. Zhou, Y. Cai,L. Huang, and J. Hu. Navigating registers in placement for clock network minimization. In Design Automation Conference, pages , [9]. Naik.S and R. Chandel. Design of a low power flip-flop using cmos deep sub micron technology. In International Conference on Recent Trends in Information, Telecommunication and Computing, pages , [10]. Poblinger.G, Computationally Efficient Speech Enhancement by Spectral Minima Tracking in Subbands, Proc. Euro Speech 2, PP ,

8 [11]. Seyedi A.S, S.H. Rasouli, A. Amirabadi, and A. Afzali-Kusha. Low power low leakage clock gated static pulsed flip-flop. In IEEE International Symposium on Circuits and Systems, pages , [12]. Stan.M.R and W. P. Burleson, Coding a terminated bus for low power, in Proc. 5 th GLSVLSI, 1995, pp [13]. Stan.M.R and W. P. Burleson, Bus-invert coding for low-power I/O, IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 3, no. 1, pp.49 58, Mar [14]. SundarRajan.R and C.L.Philipos, A Noise Estimation Algorithm for Highly Non-stationary environments, speech communication, Vol.48, PP , [15]. Teng.S.K and N. Soin. Low power clock gates optimization for clock tree distribution. In International Symposium on Quality Electronic Design, pages ,

Gated Driver Tree Based Power Optimized Multi-Bit Flip-Flops

Gated Driver Tree Based Power Optimized Multi-Bit Flip-Flops International Journal of Emerging Engineering Research and Technology Volume 2, Issue 4, July 2014, PP 250-254 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) Gated Driver Tree Based Power Optimized Multi-Bit

More information

AN OPTIMIZED IMPLEMENTATION OF MULTI- BIT FLIP-FLOP USING VERILOG

AN OPTIMIZED IMPLEMENTATION OF MULTI- BIT FLIP-FLOP USING VERILOG AN OPTIMIZED IMPLEMENTATION OF MULTI- BIT FLIP-FLOP USING VERILOG 1 V.GOUTHAM KUMAR, Pg Scholar In Vlsi, 2 A.M.GUNA SEKHAR, M.Tech, Associate. Professor, ECE Department, 1 gouthamkumar.vakkala@gmail.com,

More information

Reduction of Clock Power in Sequential Circuits Using Multi-Bit Flip-Flops

Reduction of Clock Power in Sequential Circuits Using Multi-Bit Flip-Flops Reduction of Clock Power in Sequential Circuits Using Multi-Bit Flip-Flops A.Abinaya *1 and V.Priya #2 * M.E VLSI Design, ECE Dept, M.Kumarasamy College of Engineering, Karur, Tamilnadu, India # M.E VLSI

More information

A Greedy Heuristic Algorithm for Flip-Flop Replacement Power Reduction in Digital Integrated Circuits

A Greedy Heuristic Algorithm for Flip-Flop Replacement Power Reduction in Digital Integrated Circuits A Greedy Heuristic Algorithm for Flip-Flop Replacement Power Reduction in Digital Integrated Circuits C.N.Kalaivani 1, Ayswarya J.J 2 Assistant Professor, Dept. of ECE, Dhaanish Ahmed College of Engineering,

More information

Power Reduction Approach by using Multi-Bit Flip-Flops

Power Reduction Approach by using Multi-Bit Flip-Flops International Journal of Emerging Engineering Research and Technology Volume 2, Issue 4, July 2014, PP 60-77 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) Power Reduction Approach by using Multi-Bit

More information

REDUCING DYNAMIC POWER BY PULSED LATCH AND MULTIPLE PULSE GENERATOR IN CLOCKTREE

REDUCING DYNAMIC POWER BY PULSED LATCH AND MULTIPLE PULSE GENERATOR IN CLOCKTREE Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 3, Issue. 5, May 2014, pg.210

More information

Power-Driven Flip-Flop p Merging and Relocation. Shao-Huan Wang Yu-Yi Liang Tien-Yu Kuo Wai-Kei Tsing Hua University

Power-Driven Flip-Flop p Merging and Relocation. Shao-Huan Wang Yu-Yi Liang Tien-Yu Kuo Wai-Kei Tsing Hua University Power-Driven Flip-Flop p Merging g and Relocation Shao-Huan Wang Yu-Yi Liang Tien-Yu Kuo Wai-Kei Mak @National Tsing Hua University Outline Introduction Problem Formulation Algorithms Experimental Results

More information

Australian Journal of Basic and Applied Sciences. Design of SRAM using Multibit Flipflop with Clock Gating Technique

Australian Journal of Basic and Applied Sciences. Design of SRAM using Multibit Flipflop with Clock Gating Technique ISSN:1991-8178 Australian Journal of Basic and Applied Sciences Journal home page: www.ajbasweb.com Design of SRAM using Multibit Flipflop with Clock Gating Technique 1 Divya R. and 2 Hemalatha K.L. 1

More information

University College of Engineering, JNTUK, Kakinada, India Member of Technical Staff, Seerakademi, Hyderabad

University College of Engineering, JNTUK, Kakinada, India Member of Technical Staff, Seerakademi, Hyderabad Power Analysis of Sequential Circuits Using Multi- Bit Flip Flops Yarramsetti Ramya Lakshmi 1, Dr. I. Santi Prabha 2, R.Niranjan 3 1 M.Tech, 2 Professor, Dept. of E.C.E. University College of Engineering,

More information

International Journal of Emerging Technologies in Computational and Applied Sciences (IJETCAS)

International Journal of Emerging Technologies in Computational and Applied Sciences (IJETCAS) International Association of Scientific Innovation and Research (IASIR) (An Association Unifying the Sciences, Engineering, and Applied Research) International Journal of Emerging Technologies in Computational

More information

Clock Tree Power Optimization of Three Dimensional VLSI System with Network

Clock Tree Power Optimization of Three Dimensional VLSI System with Network Clock Tree Power Optimization of Three Dimensional VLSI System with Network M.Saranya 1, S.Mahalakshmi 2, P.Saranya Devi 3 PG Student, Dept. of ECE, Syed Ammal Engineering College, Ramanathapuram, Tamilnadu,

More information

Figure.1 Clock signal II. SYSTEM ANALYSIS

Figure.1 Clock signal II. SYSTEM ANALYSIS International Journal of Advances in Engineering, 2015, 1(4), 518-522 ISSN: 2394-9260 (printed version); ISSN: 2394-9279 (online version); url:http://www.ijae.in RESEARCH ARTICLE Multi bit Flip-Flop Grouping

More information

COPY RIGHT. To Secure Your Paper As Per UGC Guidelines We Are Providing A Electronic Bar Code

COPY RIGHT. To Secure Your Paper As Per UGC Guidelines We Are Providing A Electronic Bar Code COPY RIGHT 2018IJIEMR.Personal use of this material is permitted. Permission from IJIEMR must be obtained for all other uses, in any current or future media, including reprinting/republishing this material

More information

HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP

HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP 1 R.Ramya, 2 C.Hamsaveni 1,2 PG Scholar, Department of ECE, Hindusthan Institute Of Technology,

More information

Power Optimization by Using Multi-Bit Flip-Flops

Power Optimization by Using Multi-Bit Flip-Flops Volume-4, Issue-5, October-2014, ISSN No.: 2250-0758 International Journal of Engineering and Management Research Page Number: 194-198 Power Optimization by Using Multi-Bit Flip-Flops D. Hazinayab 1, K.

More information

Flip-flop Clustering by Weighted K-means Algorithm

Flip-flop Clustering by Weighted K-means Algorithm Flip-flop Clustering by Weighted K-means Algorithm Gang Wu, Yue Xu, Dean Wu, Manoj Ragupathy, Yu-yen Mo and Chris Chu Department of Electrical and Computer Engineering, Iowa State University, IA, United

More information

Abstract 1. INTRODUCTION. Cheekati Sirisha, IJECS Volume 05 Issue 10 Oct., 2016 Page No Page 18532

Abstract 1. INTRODUCTION. Cheekati Sirisha, IJECS Volume 05 Issue 10 Oct., 2016 Page No Page 18532 www.ijecs.in International Journal Of Engineering And Computer Science ISSN: 2319-7242 Volume 5 Issue 10 Oct. 2016, Page No. 18532-18540 Pulsed Latches Methodology to Attain Reduced Power and Area Based

More information

Novel Pulsed-Latch Replacement Based on Time Borrowing and Spiral Clustering

Novel Pulsed-Latch Replacement Based on Time Borrowing and Spiral Clustering Novel Pulsed-Latch Replacement Based on Time Borrowing and Spiral Clustering NCTU CHIH-LONG CHANG IRIS HUI-RU JIANG YU-MING YANG EVAN YU-WEN TSAI AKI SHENG-HUA CHEN IRIS Lab National Chiao Tung University

More information

A Low Power Delay Buffer Using Gated Driver Tree

A Low Power Delay Buffer Using Gated Driver Tree IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) ISSN: 2319 4200, ISBN No. : 2319 4197 Volume 1, Issue 4 (Nov. - Dec. 2012), PP 26-30 A Low Power Delay Buffer Using Gated Driver Tree Kokkilagadda

More information

An FPGA Implementation of Shift Register Using Pulsed Latches

An FPGA Implementation of Shift Register Using Pulsed Latches An FPGA Implementation of Shift Register Using Pulsed Latches Shiny Panimalar.S, T.Nisha Priscilla, Associate Professor, Department of ECE, MAMCET, Tiruchirappalli, India PG Scholar, Department of ECE,

More information

A Power Efficient Flip Flop by using 90nm Technology

A Power Efficient Flip Flop by using 90nm Technology A Power Efficient Flip Flop by using 90nm Technology Mrs. Y. Lavanya Associate Professor, ECE Department, Ramachandra College of Engineering, Eluru, W.G (Dt.), A.P, India. Email: lavanya.rcee@gmail.com

More information

Power Efficient Design of Sequential Circuits using OBSC and RTPG Integration

Power Efficient Design of Sequential Circuits using OBSC and RTPG Integration Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 2, Issue. 9, September 2013,

More information

DUE to the popularity of portable electronic products,

DUE to the popularity of portable electronic products, 64 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 1, NO. 4, APRIL 013 Effective and Efficient Approach for Power Reduction by Using Multi-Bit Flip-Flops Ya-Ting Shyu, Jai-Ming Lin,

More information

Design of Low Power D-Flip Flop Using True Single Phase Clock (TSPC)

Design of Low Power D-Flip Flop Using True Single Phase Clock (TSPC) Design of Low Power D-Flip Flop Using True Single Phase Clock (TSPC) Swetha Kanchimani M.Tech (VLSI Design), Mrs.Syamala Kanchimani Associate Professor, Miss.Godugu Uma Madhuri Assistant Professor, ABSTRACT:

More information

Low-Power and Area-Efficient Shift Register Using Pulsed Latches

Low-Power and Area-Efficient Shift Register Using Pulsed Latches Low-Power and Area-Efficient Shift Register Using Pulsed Latches G.Sunitha M.Tech, TKR CET. P.Venkatlavanya, M.Tech Associate Professor, TKR CET. Abstract: This paper proposes a low-power and area-efficient

More information

A Low-Power CMOS Flip-Flop for High Performance Processors

A Low-Power CMOS Flip-Flop for High Performance Processors A Low-Power CMOS Flip-Flop for High Performance Processors Preetisudha Meher, Kamala Kanta Mahapatra Dept. of Electronics and Telecommunication National Institute of Technology Rourkela, India Preetisudha1@gmail.com,

More information

PERFORMANCE ANALYSIS OF AN EFFICIENT PULSE-TRIGGERED FLIP FLOPS FOR ULTRA LOW POWER APPLICATIONS

PERFORMANCE ANALYSIS OF AN EFFICIENT PULSE-TRIGGERED FLIP FLOPS FOR ULTRA LOW POWER APPLICATIONS Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology ISSN 2320 088X IMPACT FACTOR: 5.258 IJCSMC,

More information

Modifying the Scan Chains in Sequential Circuit to Reduce Leakage Current

Modifying the Scan Chains in Sequential Circuit to Reduce Leakage Current IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 3, Issue 1 (Sep. Oct. 2013), PP 01-09 e-issn: 2319 4200, p-issn No. : 2319 4197 Modifying the Scan Chains in Sequential Circuit to Reduce Leakage

More information

Low Power High Speed Voltage Level Shifter for Sub- Threshold Operations

Low Power High Speed Voltage Level Shifter for Sub- Threshold Operations International Journal of Innovative Research in Electronics and Communications (IJIREC) Volume 1, Issue 5, August 2014, PP 34-41 ISSN 2349-4042 (Print) & ISSN 2349-4050 (Online) www.arcjournals.org Low

More information

Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Lecture No. # 29 Minimizing Switched Capacitance-III. (Refer

More information

Improve Performance of Low-Power Clock Branch Sharing Double-Edge Triggered Flip-Flop

Improve Performance of Low-Power Clock Branch Sharing Double-Edge Triggered Flip-Flop Sumant Kumar et al. 2016, Volume 4 Issue 1 ISSN (Online): 2348-4098 ISSN (Print): 2395-4752 International Journal of Science, Engineering and Technology An Open Access Journal Improve Performance of Low-Power

More information

Reduction of Area and Power of Shift Register Using Pulsed Latches

Reduction of Area and Power of Shift Register Using Pulsed Latches I J C T A, 9(13) 2016, pp. 6229-6238 International Science Press Reduction of Area and Power of Shift Register Using Pulsed Latches Md Asad Eqbal * & S. Yuvaraj ** ABSTRACT The timing element and clock

More information

LOW POWER AND HIGH PERFORMANCE SHIFT REGISTERS USING PULSED LATCH TECHNIQUE

LOW POWER AND HIGH PERFORMANCE SHIFT REGISTERS USING PULSED LATCH TECHNIQUE OI: 10.21917/ijme.2018.0088 LOW POWER AN HIGH PERFORMANCE SHIFT REGISTERS USING PULSE LATCH TECHNIUE Vandana Niranjan epartment of Electronics and Communication Engineering, Indira Gandhi elhi Technical

More information

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL Random Access Scan Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL ramamve@auburn.edu Term Paper for ELEC 7250 (Spring 2005) Abstract: Random Access

More information

Design of Low Power Universal Shift Register

Design of Low Power Universal Shift Register Design of Low Power Universal Shift Register 1 Saranya.M, 2 V.Vijayakumar, 3 T.Ravi, 4 V.Kannan 1 M.Tech-VLSI design, Sathyabama University, Jeppiaar Nagar, Rajiv Gandhi Salai, Chennai 119 2 Assistant

More information

Research Article Low Power 256-bit Modified Carry Select Adder

Research Article Low Power 256-bit Modified Carry Select Adder Research Journal of Applied Sciences, Engineering and Technology 8(10): 1212-1216, 2014 DOI:10.19026/rjaset.8.1086 ISSN: 2040-7459; e-issn: 2040-7467 2014 Maxwell Scientific Publication Corp. Submitted:

More information

AN EFFICIENT LOW POWER DESIGN FOR ASYNCHRONOUS DATA SAMPLING IN DOUBLE EDGE TRIGGERED FLIP-FLOPS

AN EFFICIENT LOW POWER DESIGN FOR ASYNCHRONOUS DATA SAMPLING IN DOUBLE EDGE TRIGGERED FLIP-FLOPS AN EFFICIENT LOW POWER DESIGN FOR ASYNCHRONOUS DATA SAMPLING IN DOUBLE EDGE TRIGGERED FLIP-FLOPS NINU ABRAHAM 1, VINOJ P.G 2 1 P.G Student [VLSI & ES], SCMS School of Engineering & Technology, Cochin,

More information

Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register

Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register International Journal for Modern Trends in Science and Technology Volume: 02, Issue No: 10, October 2016 http://www.ijmtst.com ISSN: 2455-3778 Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift

More information

Design of a Low Power and Area Efficient Flip Flop With Embedded Logic Module

Design of a Low Power and Area Efficient Flip Flop With Embedded Logic Module IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 10, Issue 6, Ver. II (Nov - Dec.2015), PP 40-50 www.iosrjournals.org Design of a Low Power

More information

DIFFERENTIAL CONDITIONAL CAPTURING FLIP-FLOP TECHNIQUE USED FOR LOW POWER CONSUMPTION IN CLOCKING SCHEME

DIFFERENTIAL CONDITIONAL CAPTURING FLIP-FLOP TECHNIQUE USED FOR LOW POWER CONSUMPTION IN CLOCKING SCHEME DIFFERENTIAL CONDITIONAL CAPTURING FLIP-FLOP TECHNIQUE USED FOR LOW POWER CONSUMPTION IN CLOCKING SCHEME Mr.N.Vetriselvan, Assistant Professor, Dhirajlal Gandhi College of Technology Mr.P.N.Palanisamy,

More information

LOW-POWER CLOCK DISTRIBUTION IN EDGE TRIGGERED FLIP-FLOP

LOW-POWER CLOCK DISTRIBUTION IN EDGE TRIGGERED FLIP-FLOP LOW-POWER CLOCK DISTRIBUTION IN EDGE TRIGGERED FLIP-FLOP Rahul Yadav 1, Rahul Shrivastava 2, Vijay Yadav 3 1 M.Tech Scholar, 2 Asst. Prof., 3 Asst. Prof Department of Electronics and Communication Engineering,

More information

A Modified Static Contention Free Single Phase Clocked Flip-flop Design for Low Power Applications

A Modified Static Contention Free Single Phase Clocked Flip-flop Design for Low Power Applications JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.8, NO.5, OCTOBER, 08 ISSN(Print) 598-657 https://doi.org/57/jsts.08.8.5.640 ISSN(Online) -4866 A Modified Static Contention Free Single Phase Clocked

More information

ISSN Vol.08,Issue.24, December-2016, Pages:

ISSN Vol.08,Issue.24, December-2016, Pages: ISSN 2348 2370 Vol.08,Issue.24, December-2016, Pages:4666-4671 www.ijatir.org Design and Analysis of Shift Register using Pulse Triggered Latches N. NEELUFER 1, S. RAMANJI NAIK 2, B. SURESH BABU 3 1 PG

More information

DESIGN AND IMPLEMENTATION OF SYNCHRONOUS 4-BIT UP COUNTER USING 180NM CMOS PROCESS TECHNOLOGY

DESIGN AND IMPLEMENTATION OF SYNCHRONOUS 4-BIT UP COUNTER USING 180NM CMOS PROCESS TECHNOLOGY DESIGN AND IMPLEMENTATION OF SYNCHRONOUS 4-BIT UP COUNTER USING 180NM CMOS PROCESS TECHNOLOGY Yogita Hiremath 1, Akalpita L. Kulkarni 2, J. S. Baligar 3 1 PG Student, Dept. of ECE, Dr.AIT, Bangalore, Karnataka,

More information

Design Low-Power and Area-Efficient Shift Register using SSASPL Pulsed Latch

Design Low-Power and Area-Efficient Shift Register using SSASPL Pulsed Latch Design Low-Power and Area-Efficient Shift Register using SSASPL Pulsed Latch 1 D. Sandhya Rani, 2 Maddana, 1 PG Scholar, Dept of VLSI System Design, Geetanjali college of engineering & technology, 2 Hod

More information

Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow

Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow Bradley R. Quinton*, Mark R. Greenstreet, Steven J.E. Wilton*, *Dept. of Electrical and Computer Engineering, Dept.

More information

Comparative study on low-power high-performance standard-cell flip-flops

Comparative study on low-power high-performance standard-cell flip-flops Comparative study on low-power high-performance standard-cell flip-flops S. Tahmasbi Oskuii, A. Alvandpour Electronic Devices, Linköping University, Linköping, Sweden ABSTRACT This paper explores the energy-delay

More information

EFFICIENT DESIGN OF SHIFT REGISTER FOR AREA AND POWER REDUCTION USING PULSED LATCH

EFFICIENT DESIGN OF SHIFT REGISTER FOR AREA AND POWER REDUCTION USING PULSED LATCH EFFICIENT DESIGN OF SHIFT REGISTER FOR AREA AND POWER REDUCTION USING PULSED LATCH 1 Kalaivani.S, 2 Sathyabama.R 1 PG Scholar, 2 Professor/HOD Department of ECE, Government College of Technology Coimbatore,

More information

EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP. Due İLKER KALYONCU, 10043

EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP. Due İLKER KALYONCU, 10043 EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP Due 16.05. İLKER KALYONCU, 10043 1. INTRODUCTION: In this project we are going to design a CMOS positive edge triggered master-slave

More information

Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky,

Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky, Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky, tomott}@berkeley.edu Abstract With the reduction of feature sizes, more sources

More information

LFSR Counter Implementation in CMOS VLSI

LFSR Counter Implementation in CMOS VLSI LFSR Counter Implementation in CMOS VLSI Doshi N. A., Dhobale S. B., and Kakade S. R. Abstract As chip manufacturing technology is suddenly on the threshold of major evaluation, which shrinks chip in size

More information

DESIGN OF EFFICIENT SHIFT REGISTERS USING PULSED LATCHES

DESIGN OF EFFICIENT SHIFT REGISTERS USING PULSED LATCHES DESIGN OF EFFICIENT SHIFT REGISTERS USING PULSED LATCHES 1 M. Ajay, 2 G.Srihari, 1 PG Scholar,Dept of ECE, Sreenivasa Institute of Technology and Management Studies (Autonomous) Murkambattu, Chittoor,

More information

Leakage Current Reduction in Sequential Circuits by Modifying the Scan Chains

Leakage Current Reduction in Sequential Circuits by Modifying the Scan Chains eakage Current Reduction in Sequential s by Modifying the Scan Chains Afshin Abdollahi University of Southern California (3) 592-3886 afshin@usc.edu Farzan Fallah Fujitsu aboratories of America (48) 53-4544

More information

DESIGN OF DOUBLE PULSE TRIGGERED FLIP-FLOP BASED ON SIGNAL FEED THROUGH SCHEME

DESIGN OF DOUBLE PULSE TRIGGERED FLIP-FLOP BASED ON SIGNAL FEED THROUGH SCHEME Scientific Journal Impact Factor (SJIF): 1.711 e-issn: 2349-9745 p-issn: 2393-8161 International Journal of Modern Trends in Engineering and Research www.ijmter.com DESIGN OF DOUBLE PULSE TRIGGERED FLIP-FLOP

More information

Dual Edge Adaptive Pulse Triggered Flip-Flop for a High Speed and Low Power Applications

Dual Edge Adaptive Pulse Triggered Flip-Flop for a High Speed and Low Power Applications International Journal of Scientific and Research Publications, Volume 5, Issue 10, October 2015 1 Dual Edge Adaptive Pulse Triggered Flip-Flop for a High Speed and Low Power Applications S. Harish*, Dr.

More information

2.6 Reset Design Strategy

2.6 Reset Design Strategy 2.6 Reset esign Strategy Many design issues must be considered before choosing a reset strategy for an ASIC design, such as whether to use synchronous or asynchronous resets, will every flipflop receive

More information

A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY

A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY Ms. Chaitali V. Matey 1, Ms. Shraddha K. Mendhe 2, Mr. Sandip A.

More information

Low Power D Flip Flop Using Static Pass Transistor Logic

Low Power D Flip Flop Using Static Pass Transistor Logic Low Power D Flip Flop Using Static Pass Transistor Logic 1 T.SURIYA PRABA, 2 R.MURUGASAMI PG SCHOLAR, NANDHA ENGINEERING COLLEGE, ERODE, INDIA Abstract: Minimizing power consumption is vitally important

More information

P.Akila 1. P a g e 60

P.Akila 1. P a g e 60 Designing Clock System Using Power Optimization Techniques in Flipflop P.Akila 1 Assistant Professor-I 2 Department of Electronics and Communication Engineering PSR Rengasamy college of engineering for

More information

Innovative Fast Timing Design

Innovative Fast Timing Design Innovative Fast Timing Design Solution through Simultaneous Processing of Logic Synthesis and Placement A new design methodology is now available that offers the advantages of enhanced logical design efficiency

More information

11. Sequential Elements

11. Sequential Elements 11. Sequential Elements Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 October 11, 2017 ECE Department, University of Texas at Austin

More information

International Journal Of Global Innovations -Vol.6, Issue.I Paper Id: SP-V6-I1-P46 ISSN Online:

International Journal Of Global Innovations -Vol.6, Issue.I Paper Id: SP-V6-I1-P46 ISSN Online: ANALYSIS OF LOW-POWER AND AREA-EFFICIENT SHIFT REGISTERS USING PULSED LATCH #1 GUNTI SUMANJALI, M.Tech Student, #2 V.SRIDHAR, Assistant Professor, Dept of ECE, MOTHER THERESSA COLLEGE OF ENGINEERING &

More information

IC Layout Design of Decoders Using DSCH and Microwind Shaik Fazia Kausar MTech, Dr.K.V.Subba Reddy Institute of Technology.

IC Layout Design of Decoders Using DSCH and Microwind Shaik Fazia Kausar MTech, Dr.K.V.Subba Reddy Institute of Technology. IC Layout Design of Decoders Using DSCH and Microwind Shaik Fazia Kausar MTech, Dr.K.V.Subba Reddy Institute of Technology. T.Vijay Kumar, M.Tech Associate Professor, Dr.K.V.Subba Reddy Institute of Technology.

More information

Project 6: Latches and flip-flops

Project 6: Latches and flip-flops Project 6: Latches and flip-flops Yuan Ze University epartment of Computer Engineering and Science Copyright by Rung-Bin Lin, 1999 All rights reserved ate out: 06/5/2003 ate due: 06/25/2003 Purpose: This

More information

Sequencing. Lan-Da Van ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall,

Sequencing. Lan-Da Van ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall, Sequencing ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall, 2013 ldvan@cs.nctu.edu.tw http://www.cs.nctu.edu.tw/~ldvan/ Outlines Introduction Sequencing

More information

Minimization of Power for the Design of an Optimal Flip Flop

Minimization of Power for the Design of an Optimal Flip Flop Minimization of Power for the Design of an Optimal Flip Flop Kahkashan Ali #1, Tarana Afrin Chandel #2 #1 M.TECH Student, #2 Associate Professor, 1,2 Department of ECE, Integral University, Lucknow, INDIA

More information

Fully Static and Compressed Topology Using Power Saving in Digital circuits for Reduced Transistor Flip flop

Fully Static and Compressed Topology Using Power Saving in Digital circuits for Reduced Transistor Flip flop Fully Static and Compressed Topology Using Power Saving in Digital circuits for Reduced Transistor Flip flop 1 S.Mounika & 2 P.Dhaneef Kumar 1 M.Tech, VLSIES, GVIC college, Madanapalli, mounikarani3333@gmail.com

More information

Design of a High Frequency Dual Modulus Prescaler using Efficient TSPC Flip Flop using 180nm Technology

Design of a High Frequency Dual Modulus Prescaler using Efficient TSPC Flip Flop using 180nm Technology Design of a High Frequency Dual Modulus Prescaler using Efficient TSPC Flip Flop using 180nm Technology Divya shree.m 1, H. Venkatesh kumar 2 PG Student, Dept. of ECE, Nagarjuna College of Engineering

More information

Peak Dynamic Power Estimation of FPGA-mapped Digital Designs

Peak Dynamic Power Estimation of FPGA-mapped Digital Designs Peak Dynamic Power Estimation of FPGA-mapped Digital Designs Abstract The Peak Dynamic Power Estimation (P DP E) problem involves finding input vector pairs that cause maximum power dissipation (maximum

More information

Parametric Optimization of Clocked Redundant Flip-Flop Using Transmission Gate

Parametric Optimization of Clocked Redundant Flip-Flop Using Transmission Gate Parametric Optimization of Clocked Redundant Flip-Flop Using Transmission Gate Sapna Sadhwani Student, Department of ECE Lakshmi Narain College of Technology Bhopal, India srsadhwani@gmail.comm Abstract

More information

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits N.Brindha, A.Kaleel Rahuman ABSTRACT: Auto scan, a design for testability (DFT) technique for synchronous sequential circuits.

More information

ECE321 Electronics I

ECE321 Electronics I ECE321 Electronics I Lecture 25: Sequential Logic: Flip-flop Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Tuesday 2:00-3:00PM or by appointment E-mail: pzarkesh.unm.edu Slide: 1 Review of Last

More information

Prototyping an ASIC with FPGAs. By Rafey Mahmud, FAE at Synplicity.

Prototyping an ASIC with FPGAs. By Rafey Mahmud, FAE at Synplicity. Prototyping an ASIC with FPGAs By Rafey Mahmud, FAE at Synplicity. With increased capacity of FPGAs and readily available off-the-shelf prototyping boards sporting multiple FPGAs, it has become feasible

More information

Sharif University of Technology. SoC: Introduction

Sharif University of Technology. SoC: Introduction SoC Design Lecture 1: Introduction Shaahin Hessabi Department of Computer Engineering System-on-Chip System: a set of related parts that act as a whole to achieve a given goal. A system is a set of interacting

More information

Design Project: Designing a Viterbi Decoder (PART I)

Design Project: Designing a Viterbi Decoder (PART I) Digital Integrated Circuits A Design Perspective 2/e Jan M. Rabaey, Anantha Chandrakasan, Borivoje Nikolić Chapters 6 and 11 Design Project: Designing a Viterbi Decoder (PART I) 1. Designing a Viterbi

More information

Design And Analysis Of Implicit Pulsed Double Edge Triggered Clocked Latch For Low Power Applications

Design And Analysis Of Implicit Pulsed Double Edge Triggered Clocked Latch For Low Power Applications Design And Analysis Of Implicit Pulsed Double Edge Triggered Clocked Latch For Low Power Applications ¹GABARIYALA SABADINI C ²Dr. P. MANIRAJ KUMAR ³Dr. P.NAGARAJAN 1. PG scholar, VLSI design, Department

More information

GLITCH FREE NAND BASED DCDL IN PHASE LOCKED LOOP APPLICATION

GLITCH FREE NAND BASED DCDL IN PHASE LOCKED LOOP APPLICATION GLITCH FREE NAND BASED DCDL IN PHASE LOCKED LOOP APPLICATION S. Karpagambal 1 and M. S. Thaen Malar 2 1 VLSI Design, Sona College of Technology, Salem, India 2 Department of Electronics and Communication

More information

Analysis of Digitally Controlled Delay Loop-NAND Gate for Glitch Free Design

Analysis of Digitally Controlled Delay Loop-NAND Gate for Glitch Free Design Analysis of Digitally Controlled Delay Loop-NAND Gate for Glitch Free Design S. Karpagambal, PG Scholar, VLSI Design, Sona College of Technology, Salem, India. e-mail:karpagambals.nsit@gmail.com M.S. Thaen

More information

Energy Recovery Clocking Scheme and Flip-Flops for Ultra Low-Energy Applications

Energy Recovery Clocking Scheme and Flip-Flops for Ultra Low-Energy Applications Energy Recovery Clocking Scheme and Flip-Flops for Ultra Low-Energy Applications Matthew Cooke, Hamid Mahmoodi-Meimand, Kaushik Roy School of Electrical and Computer Engineering, Purdue University, West

More information

Design of Low Power and Area Efficient 64 Bits Shift Register Using Pulsed Latches

Design of Low Power and Area Efficient 64 Bits Shift Register Using Pulsed Latches Advances in Computational Sciences and Technology ISSN 0973-6107 Volume 11, Number 7 (2018) pp. 555-560 Research India Publications http://www.ripublication.com Design of Low Power and Area Efficient 64

More information

Lecture 23 Design for Testability (DFT): Full-Scan

Lecture 23 Design for Testability (DFT): Full-Scan Lecture 23 Design for Testability (DFT): Full-Scan (Lecture 19alt in the Alternative Sequence) Definition Ad-hoc methods Scan design Design rules Scan register Scan flip-flops Scan test sequences Overheads

More information

data and is used in digital networks and storage devices. CRC s are easy to implement in binary

data and is used in digital networks and storage devices. CRC s are easy to implement in binary Introduction Cyclic redundancy check (CRC) is an error detecting code designed to detect changes in transmitted data and is used in digital networks and storage devices. CRC s are easy to implement in

More information

Achieving Faster Time to Tapeout with In-Design, Signoff-Quality Metal Fill

Achieving Faster Time to Tapeout with In-Design, Signoff-Quality Metal Fill White Paper Achieving Faster Time to Tapeout with In-Design, Signoff-Quality Metal Fill May 2009 Author David Pemberton- Smith Implementation Group, Synopsys, Inc. Executive Summary Many semiconductor

More information

Implementation of BIST Test Generation Scheme based on Single and Programmable Twisted Ring Counters

Implementation of BIST Test Generation Scheme based on Single and Programmable Twisted Ring Counters IOSR Journal of Mechanical and Civil Engineering (IOSR-JMCE) e-issn: 2278-1684, p-issn: 2320-334X Implementation of BIST Test Generation Scheme based on Single and Programmable Twisted Ring Counters N.Dilip

More information

Report on 4-bit Counter design Report- 1, 2. Report on D- Flipflop. Course project for ECE533

Report on 4-bit Counter design Report- 1, 2. Report on D- Flipflop. Course project for ECE533 Report on 4-bit Counter design Report- 1, 2. Report on D- Flipflop Course project for ECE533 I. Objective: REPORT-I The objective of this project is to design a 4-bit counter and implement it into a chip

More information

Efficient Architecture for Flexible Prescaler Using Multimodulo Prescaler

Efficient Architecture for Flexible Prescaler Using Multimodulo Prescaler Efficient Architecture for Flexible Using Multimodulo G SWETHA, S YUVARAJ Abstract This paper, An Efficient Architecture for Flexible Using Multimodulo is an architecture which is designed from the proposed

More information

K.T. Tim Cheng 07_dft, v Testability

K.T. Tim Cheng 07_dft, v Testability K.T. Tim Cheng 07_dft, v1.0 1 Testability Is concept that deals with costs associated with testing. Increase testability of a circuit Some test cost is being reduced Test application time Test generation

More information

POWER OPTIMIZED CLOCK GATED ALU FOR LOW POWER PROCESSOR DESIGN

POWER OPTIMIZED CLOCK GATED ALU FOR LOW POWER PROCESSOR DESIGN POWER OPTIMIZED CLOCK GATED ALU FOR LOW POWER PROCESSOR DESIGN 1 L.RAJA, 2 Dr.K.THANUSHKODI 1 Prof., Department of Electronics and Communication Engineeering, Angel College of Engineering and Technology,

More information

EFFICIENT POWER REDUCTION OF TOPOLOGICALLY COMPRESSED FLIP-FLOP AND GDI BASED FLIP FLOP

EFFICIENT POWER REDUCTION OF TOPOLOGICALLY COMPRESSED FLIP-FLOP AND GDI BASED FLIP FLOP EFFICIENT POWER REDUCTION OF TOPOLOGICALLY COMPRESSED FLIP-FLOP AND GDI BASED FLIP FLOP S.BANUPRIYA 1, R.GOWSALYA 2, M.KALEESWARI 3, B.DHANAM 4 1, 2, 3 UG Scholar, 4 Asst.Professor/ECE 1, 2, 3, 4 P.S.R.RENGASAMY

More information

Design of New Dual Edge Triggered Sense Amplifier Flip-Flop with Low Area and Power Efficient

Design of New Dual Edge Triggered Sense Amplifier Flip-Flop with Low Area and Power Efficient Design of New Dual Edge Triggered Sense Amplifier Flip-Flop with Low Area and Power Efficient Ms. Sheik Shabeena 1, R.Jyothirmai 2, P.Divya 3, P.Kusuma 4, Ch.chiranjeevi 5 1 Assistant Professor, 2,3,4,5

More information

Design of an Efficient Low Power Multi Modulus Prescaler

Design of an Efficient Low Power Multi Modulus Prescaler International Journal of Engineering Research and Development e-issn: 2278-067X, p-issn: 2278-800X, www.ijerd.com Volume 6, Issue 3 (March 2013), PP. 15-22 Design of an Efficient Low Power Multi Modulus

More information

Comparative Analysis of Pulsed Latch and Flip-Flop based Shift Registers for High-Performance and Low-Power Systems

Comparative Analysis of Pulsed Latch and Flip-Flop based Shift Registers for High-Performance and Low-Power Systems IJECT Vo l. 7, Is s u e 2, Ap r i l - Ju n e 2016 ISSN : 2230-7109 (Online) ISSN : 2230-9543 (Print) Comparative Analysis of Pulsed Latch and Flip-Flop based Shift Registers for High-Performance and Low-Power

More information

Novel Low Power and Low Transistor Count Flip-Flop Design with. High Performance

Novel Low Power and Low Transistor Count Flip-Flop Design with. High Performance Novel Low Power and Low Transistor Count Flip-Flop Design with High Performance Imran Ahmed Khan*, Dr. Mirza Tariq Beg Department of Electronics and Communication, Jamia Millia Islamia, New Delhi, India

More information

Design of a Low Power Four-Bit Binary Counter Using Enhancement Type Mosfet

Design of a Low Power Four-Bit Binary Counter Using Enhancement Type Mosfet Design of a Low Power Four-Bit Binary Counter Using Enhancement Type Mosfet Praween Sinha Department of Electronics & Communication Engineering Maharaja Agrasen Institute Of Technology, Rohini sector -22,

More information

A Novel Approach for Auto Clock Gating of Flip-Flops

A Novel Approach for Auto Clock Gating of Flip-Flops A Novel Approach for Auto Clock Gating of Flip-Flops Kakarla Sandhya Rani 1, Krishna Prasad Satamraju 2 1 P.G Scholar, Department of ECE, Vasireddy Venkatadri Institute of Technology, Nambur, Guntur (dt),

More information

International Journal of Computer Trends and Technology (IJCTT) volume 24 Number 2 June 2015

International Journal of Computer Trends and Technology (IJCTT) volume 24 Number 2 June 2015 Power and Area analysis of Flip Flop using different s Neha Thapa 1, Dr. Rajesh Mehra 2 1 ME student, Department of E.C.E, NITTTR, Chandigarh, India 2 Associate Professor, Department of E.C.E, NITTTR,

More information

Interconnect Planning with Local Area Constrained Retiming

Interconnect Planning with Local Area Constrained Retiming Interconnect Planning with Local Area Constrained Retiming Ruibing Lu and Cheng-Kok Koh School of Electrical and Computer Engineering Purdue University,West Lafayette, IN, 47907, USA {lur, chengkok}@ecn.purdue.edu

More information

Latch-Based Performance Optimization for FPGAs. Xiao Teng

Latch-Based Performance Optimization for FPGAs. Xiao Teng Latch-Based Performance Optimization for FPGAs by Xiao Teng A thesis submitted in conformity with the requirements for the degree of Master of Applied Science Graduate Department of ECE University of Toronto

More information

ANALYSIS OF LOW-POWER AND AREA-EFFICIENT SHIFT REGISTERS USING DIGITAL PULSED LATCHES

ANALYSIS OF LOW-POWER AND AREA-EFFICIENT SHIFT REGISTERS USING DIGITAL PULSED LATCHES ANALYSIS OF LOW-POWER AND AREA-EFFICIENT SHIFT REGISTERS USING DIGITAL PULSED LATCHES #1G.N.P.JYOTHI,PG Scholar, Dept of ECE (VLSID), Sri Sunflower College of Engineering and Technology, Lankapalli, (A.P),INDIA.

More information

An Efficient IC Layout Design of Decoders and Its Applications

An Efficient IC Layout Design of Decoders and Its Applications An Efficient IC Layout Design of Decoders and Its Applications Dr.Arvind Kundu HOD, SCIENT Institute of Technology. T.Uday Bhaskar, M.Tech Assistant Professor, SCIENT Institute of Technology. B.Suresh

More information