EUV Blank Inspection

Size: px
Start display at page:

Download "EUV Blank Inspection"

Transcription

1 EUV Blank Inspection J.H. Peters* a, C. Tonk a, D. Spriegel b, Hak-Seung Han c, Wonil Cho c, Stefan Wurm d a Advanced Mask Technology Center, Raehnitzer Allee 9, Dresden, Germany; b Siemens AG, Corporate Technology, Otto-Hahn-Ring 6, München, Germany; c SEMATECH, 255 Fuller Rd, Albany, NY d SEMATECH, 2706 Montopolis Drive, Austin, TX ABSTRACT One of the major topics for the introduction of extreme ultraviolet (EUV) technology is the availability of defect-free masks. From the mask makers perspective, the quality of the incoming blank material is one of the key ingredients impacting the yield of structured masks. Besides flatness and layer thickness uniformity, the number of defects determines the final quality of the mask delivered to the customer for printing. Defects within the layers of optical blanks have shown that they have a growing impact on the mask structuring process, well below the usual defect specifications of the blanks. It is expected that this finding will also hold true for material layers above the multi-layer. In addition to printing performance, EUV masks need to have a defect-free multi-layer. While this layer is easily accessible during blank production, mask makers usually acquire the complete blank stacks with or without the coated resist. Incoming blank inspection, therefore, must check the quality of the complete stack without destroying any of the functionality of these layers. Siemens and AMTC have jointly developed a blank inspection tool that has been installed in AMTC s Dresden facility and that allows the screening of an EUV blank under these boundary conditions. Previously, SEMATECH successfully installed an M7360 from Lasertec in its Mask Blank Development Center (MBDC) in Albany, NY, that shows much better sensitivity data than SEMATECH s earlier tool, the Lasertec M1350. This paper describes the early performance of the Siemens DF-40XP on programmed multi-layer defect blanks and regular blanks and compares it to that of the existing tools at the SEMATECH MBDC. Keywords: EUV blank inspection, multi-layer defects, detection sensitivity 1. INTRODUCTION An adequate blank inspection tool is one of the key elements in the production and usage of EUV blanks for mask making. It will support the development and enable the verification of processes for a defect free production of EUV blanks. For the introduction of EUV as a viable lithography solution for the production at or beyond the 32nm node, the availability of defect free masks made out of these blanks is still one the gating factors for an industrial adoption. From the mask makers perspective a tool allowing to identify and locate defects in the incoming inspection adds additional possibilities to set up intelligent manufacturing processes which either use the location information for a proper placement of structures with respect to defects in order to mask them or to specifically probe the final mask for an imaging impact during final defect screening. Both these methods would greatly benefit from additional infrastructure like unique blank identification 1 and related defect map standards 2. The challenge for EUV blank defect inspection tools for applications at or beyond the 32 nm node lies in the fast and complete screening of the entire blank quality area with high enough sensitivity to locate on a variety of different materials all particles larger than the respective defect cut-off size of e.g. 30 nm on the substrate for the 32 nm node 3 without damage to the very delicate multi-layer. SEMATECH has extensively been studying defect formation during the deposition of the multi-layers. One major achievement in their mask blank development center (MBDC) was the successful deposition of a defect free multi-layer deposition process. It could be shown that the remaining defects could almost exclusively be found on the interface between substrate and multi-layer. For this development the MBDC has first used a Lasertec M1350 inspection tool and later the newer Lasertec M7360 with a higher sensitivity. The optical defect detection technique has been compared to actinic screening at several locations for variety of programmed defect mask blanks

2 Siemens developed for AMTC within the ABBILD project 7 two tool generations for defect screening of incoming blanks suitable for 70nm defect size on optical blanks and 40nm on EUV blanks respectively. The latter tool was installed at AMTC at the end of 2007 and is currently being qualified. Both approaches head for the detection of very small defects with a screening time of about one hour per plate on the substrate and multi-layer materials in reflected mode. At both sites blanks with programmed defects of different types have been produced to qualify the respective tools. In this paper the several aspects of the detection capability have been studied and when possible the respective results have been compared. The first part of the paper describes the new DF- 40XP inspection tool, then the designs of the programmed defect blanks will be shown, the measurements results compared and discussed. 2. THE SIEMENS DF-40XP AT AMTC The SIEMENS blank inspection system DF-40XP was especially developed for the optical inspection of EUV mask blanks. The tool provides the automatic detection of particles and blank layer defects with high resolution and precise information about the defect position. It allows the optical inspection of various materials, such as the multilayer side and the chromium-coated backside of EUV mask blanks. Even resist-coated EUV blanks can be inspected without thermal stress or exposure of the resist. In this mode the blank is automatically flipped in the tool. The performance of the inspection system is achieved by the application of a sophisticated laser scanning and detection optics, combined with a powerful signal processing hardware and software. For high throughput two sensor heads are installed, thus allowing the inspection of the entire blank within a single run. The scan time for complete scan of a 6 inch reticle is less than one hour. The blank is loaded into the system via SMIF pod and an integrated pod handler. The blank is deposited in the shuttle of the inspection unit and the automatic scanning cycle is started. Depending on the chosen inspection mode, there is a simultaneous scanning of the multilayer and the chuck side of the EUV blank or an inspection of only one blank side. For highest defect sensitivity the applied wavelength is 355nm and 405nm, respectively. The detected defects are automatically sorted to a customized number of size bins. The inspection result output contains a statistics of all defects and a map showing the particular defect types in different colors. Moreover, the displayed map can be reduced to a user-defined number of defect types and size bins. Via mouse-click detailed information about each defect is available, e.g., coordinates, size and laterals dimensions. Since the defect size is below the resolution of optical microscopes a special function is implemented to allow the visual review of defects. The so-called Scan View provides the inspection of a small area. For this, a user-defined window around a defect, which is selected in the defect map via mouse-click, is scanned. Thus, a grey-level image with high resolution of the interesting defect is achieved. 3. PROGRAMMED DEFECT BLANKS USED A variety of different programmed defect blanks have been used to either setup the inspection tools or determine their detection efficiencies for the purpose of this study. The major features of the programmed defect blanks are: 3.1 Siemens/IMS blank M For the purpose of the DF-40XP qualification the IMS Chips in Stuttgart produced a programmed defect blank for Siemens in the framework of the ABBILD project that was used for the setup and qualification of the inspection tool. The programmed bump defects are sorted into arrays of equally spaced dots of certain heights and lateral sizes. The defect sizes vary between 50 nm and 360 nm and are framed by 4 location markers at the edges of the defect arrays. The lateral sizes and heights of the bumps have been measured with an AFM by the PTB in Braunschweig to be in the order of 10nm.

3 3.2 Hoya PDM/PDS blank Hoya kindly provided its programmed defect blank for the qualification of the AMTC DF-40XP during this study. The set of two blanks have programmed bump defects on the quartz substrate (PDS); one of the blanks is covered with a multi-layer stack (PDM). Defect heights vary between 2 and 4 nm, defect sizes (FWHM) between 70 nm and 1000 nm. 3.3 SEMATECH Yoshi Mask SEMATECH produced a programmed pit mask with pit depth of 2, 4 and 6 nm respectively and lateral sizes of 50, 100, and 150 nm. The arrays of identical pits are bordered by location markers (pit region). In addition programmed isolated lines with surrounding pits have been produced (isolated line and pit region) as well as a multi-line region of similar structure. 3.4 SEMATECH Bump Mask V2206-ML-PD SEMATECH also had a mask produced with bump defects between 20 and 70 nm lateral sizes spaced by 20 µm. 4. EXPERIMENTAL PROGRAM Each of these blanks has been screened at both locations for a comparison of the performance of the tools and a learning on the effectiveness of the respective designs for this purpose. 4.1 AMTC measurements The Siemens/IMS Chips mask has been used to setup the AMTC DF-40XP tool and was studies first. The tool is running with one tenth the maximum laser power possible in order to avoid thermal stress or damage of the multilayer. The maximum allowable power still has to be verified. The sensitivity of the tool was varied to determine the detection limits. Here we have adapted the measurement and filtering settings almost down to noise level. Fig. 1 shows the full scan result of the blank as seen directly on the tool in the area of the programmed defects in the center of the mask. It can easily be seen that the result is dominated by the abundant particle contamination due to prior handling (as in the case of all other blanks studied). As a comparison data for certain size cuts are shown clearly identifying the programmed defects. Design of defect mask Defects above 70 nm can be seen Blow-up of 3x11 array of 70 nm size and 10 nm height Fig 1: Defect design and images of the IMS/Siemens defect mask on the DF-40XP at AMTC The second mask studied was the SEMATECH programmed pit mask. Besides simply looking at the default defect map, areas of special interest or inadequate graphical display of defect spots have been viewed in scan view mode. Fig.2 clearly shows the programmed defects surrounded by the location markers of the mask. It can be seen that the markers cause very bright spots due to their large dimensions in comparison with the nano-scaled programmed defects. Thus, the over-shining leads to a clustering of defects. Due to the high sensitivity of the detection system this has been found to be a problem for some of the programmed defect masks studied in this paper. It has to be noted that this does not affect the overall defect sensitivity of the tool and has no relevance in practice because defects in the range of the markers will lead to reject during blank manufacturing.

4 pits 2 mn nm 6 nm Fig.2: Design of the SEMATECH Yoshi mask with a scan view picture in a section of about 0.4 mm by 1 mm area. The resolution of the tool can be seen when zooming for example into the leftmost regions as seen in Fig.3. Here a 100% capture rate can be seen down to 50nm sized defects of 6nm depth and 100nm defects with 2nm. Fig.3: Zoomed view of region showing the resolution of the DF-40XP. 100% of all defects have been found for defects down to 6 nm depth and 50 nm lateral size and 2 nm depth and 100nm size. Hoya has kindly provided programmed defect masks for this study to AMTC. The masks have programmed quartz substrate defects which were looked at directly with the DF-40XP. Despite the fact that this tool only works in reflected mode and therefore is not designed for this purpose we were able to detect a large portion of these defects on Qz. In highest pixel sensitivity with a tenth of the laser power Qz defects down to 4 nm height and 160 nm lateral size on the Qz-substrate could be detected without clustering. In the scan view mode defects down to 120nm could be located on the images.

5 # Defect width (nm FWHM) Defect Height (nm) 4.0 Quartz Defect image Fig.4: Hoya Qz bump defect field on Qz with 70 to 210 nm defects. Defects were found above 160 nm. The second mask is built in a similar fashion and the defects are afterwards covered with a multi-layer mirror. The resulting programmed defects range from 70 to 1000 nm lateral size (FWHM) and heights between 1.0 nm and 3.8 nm. Fig.3 shows the detected signal of one of the subfields. # Defect width (nm FWHM) Defect Height (nm) Defect image Fig.5: Hoya defect field with 70 to 300nm defects. Defects were found above 95nm. With further tuning of the tool it is expected that the sensitivity in both modes can be improved in future as indicated by the image performance seen in the scan view where defects as small as 90 nm could be seen (see Fig.6). Fig. 6: Scan view image of subfields 3 to 5. In the image 100% of the 95 nm defects were found and also most of the defects in the 90 nm subfield.

6 The SEMATECH bump mask has been screened in a similar fashion. The defect field with the programmed defects are labelled A through K with defect sizes of 70 nm and below. Fig.7 below shows the defect sensitivity of the DF-40XP. K J I H G F E D C B A Fig 7. SEMATECH bump mask with defect sized labelled A through K. Displayed are the defects found by the automatic scanning of the DF-40XP. Down to subfield F the tool still automatically finds 100% of all defects. Here as well as in the previous cases the scan view image reveals a much higher sensitivity. When analysing the images almost 100% of the defects in column H and some in column I and even J can be seen. 4.2 Detection sensitivity and capture rates Using the automatic defect values the performance of the DF-40XP tool has been determined with respect to the sensitivity for defect sizes and their capture rates. For the analysis the data for the bump mask and the Hoya PDM will be shown here. For the Hoya masks the defects have been covered with multi-layers and the resulting sizes had been measured and given with the mask by Hoya. The capture efficiency ranges from 98% to 100% above 110 nm size and steeply drops down to values of 95 nm. As mentioned above, the sensitivity of the tool is higher during scanning, such that it can be assumed that a higher efficiency will be reached in future. PDM Blank Capture Rate 100% Capture Rate 80% 60% 40% 20% 0% Defect Field # # Defect width (nm FWHM) Fig 8. Capture efficiency for the Hoya PDM plate vs. field number.

7 For the SEMATECH bump mask in addition to the capture rate the size as measured by the DF-40XP has been analysed. With the average size per field the capture efficiency of defects on the bump mask was determined to be higher than on the Hoya mask. For 5% of the maximum laser power, a 100% capture rate was seen down to 50 nm and steeply falling off to 30% rate at 45 nm as shown in Fig.10. No size could be determined for subfield I. 100% 80% 60% 40% 20% 0% Fig. 10: Capture efficiency of defects vs. measured defect size on the SEMATECH bump mask as measured on the DF-40XP 5. SEMATECH MEASUREMENTS SEMATECH has extensively studied 8 and tuned the Lasertec M7360 inspection tool for highest detection sensitivity and has gained lots of experience operating this tool. Hence the results obtained with this tool will be used as a reference to rate the performance of the DF-40XP installed at AMTC. SEMATECH has measured the programmed defect masks on the Lasertec M7360 and also measured plates with PSL particles on the same tool. From the pixel sizes determined for each of the PSL sizes a scale has been fixed for this study (for example 90nm PSL corresponds to pixel 11.5). For each of the defect columns a mean pixel size has been calculated for the programmed defects of the bump mask. Finally the equivalent PSL size can be determined for each of the columns. Please note that these equivalent PSL sizes depend on the tool and the wavelength and hence will be different for the two tools used in this study. The mean pixel count and capture efficiency vs. the calculated defects size determined in this way for the bump mask can be seen in Fig.11 below. Capture Efficiency 100% 90% 80% 70% 60% 50% 40% 30% Mean Pixel Count 20% 2 Capture Efficiency 10% Mean Pixel Count 1 0% Defect size (in PSL equivalent, nm) Fig.11: Capture rate in PSL equivalent sizes as measured by SEMATECH

8 The tool shows a very high performance of 100% detection efficiency at the chosen settings for PSL equivalent defect sizes down to 45 nm, then falling off down to 0% capture rate at about 35 nm. 6. COMPARISON AND DISCUSSION OF RESULTS From the data taken on the SEMATECH bump mask at the two locations a comparison of the tool sensitivities for this mask can be drawn. First we looked at the two different ways to determine the defect sizes for the columns A to K. While SEMATECH has calculated equivalent PSL sizes with respect to the pixels, AMTC and Siemens relate to the defect sizes as measured on calibrations masks for DFX tool series. As can be seen in Fig.12 the calculated sizes follow a similar trend but do deviate substantially in certain areas. Based on the defect sizes the capture rate for each of the columns has been determined as shown in Fig.13. Above 50nm defect size the two tools find very close to or exactly 100% of all these defects. Below 50 nm the Lasertec tool at SEMATECH shows a higher capture efficiency. The scan view images of the Siemens tool however indicate that this tool as well should be able to detect smaller defects. Defect Size [nm] Sematech AMTC K J I H G F E D C B A Defect Field Name Capture Rate 100% 80% 60% Sematech AMTC 40% 20% 0% Defect Size [nm] Fig.12: Average defect size calculated for each of the defect fields on the SEMATECH bump mask by the two different methods described. Fig.13: Comparison of capture efficiencies as measured on the SEMATECH bump mask on the Lasertec M7360 and Siemens DF-40XP for the calculated defect sizes. The Lasertec M7360 tool at this stage is still superior in detection efficiency to the newly installed DF-40XP at AMTC. The comparison of the performance of the two tools for various programmed defect masks provided an insight into the limits and hence the improvement potential of the tools and also gave valuable hints for the further development of programmed defect blanks. 7. ACKNOWLEDGEMENTS AMTC is a joint venture of Toppan Photomasks, Qimonda, and AMD Inc and together with Siemens gratefully acknowledges the financial support by the German Federal Ministry of Education and Research (BMBF) under contract number 01M3154A ( Abbildungsmethodiken für Nanoelektronische Bauelemente ABBILD). Special thanks also to Hoya Corporation for providing their programmed defect mask for better understanding of DF-40XP performance. REFERENCES 1 Proposed new standard, SEMI SNARF Blank ID, 8. Oct MEDEA Project 2T302 MUSCLE Masks through user s supply chain: leadership by excellence, EMLC 2007, Grenoble 3 ITRS Roadmap 2006 Update

9 4 A. Barty, K.A. Goldberg, P. Kearney, S.B. Rekawa, B. LaFontaine, O. Wood, J.S. Taylor, H.S. Han, Multilayer defects nucleated by substrate pits: a comparison of actinic inspection and non-actinic inspection techniques, Photomask Technology 2006, Proceeding of SPIE, Vol. 6349, (2006) 5 T. Terasawa, Y. Tezuka, M. Ito, T. Tomie, High Sped Actinic EUV Mask Blank Inspection with Dark-Field Imaging, BACSU News, January 2005, Volume 21, Issue 1 6 K. Hamamoto, Y. Tanaka, T. Yoshizumi, Y. Fukushima, H. Shiotani, N. Sakaya, M. Hosoya, T. Shoki, T. Watanabe, H. Kinoshita, Pahse Defect Observation Using an EUV Microscope, Proceeding of SPIE, Vol.6151, (2006) 7 ABBILD project funded by the German Federal Ministry of Education and Research (BMBF) under contract number 01M3154A ( Abbildungsmethodiken für Nanoelektronische Bauelemente ABBILD) 8 Wonil Cho, Hak-Seung Han, Kenneth A. Goldberg, Patrick A. Kearney, Chan-Uk Jeon, Detectability and printability of EUVL-mask blank defects fort he 32-nm HP node, Photomask Technology 2007, Proceedings of SPIE, Vol. 6730, (2007)

PROCEEDINGS OF SPIE. Classification and printability of EUV mask defects from SEM images

PROCEEDINGS OF SPIE. Classification and printability of EUV mask defects from SEM images PROCEEDINGS OF SPIE SPIEDigitalLibrary.org/conference-proceedings-of-spie Classification and printability of EUV mask defects from SEM images Wonil Cho, Daniel Price, Paul A. Morgan, Daniel Rost, Masaki

More information

Readiness and Challenges of EUV Mask

Readiness and Challenges of EUV Mask Panel Discussion: EUVL HVM Insertion and Scaling Readiness and Challenges of EUV Mask Takashi Kamo Toshiba Corporation Semiconductor & Storage Products Company Contents [1] Introduction [2] EUV Mask Defect

More information

Nano-Imprint Lithography Infrastructure: Imprint Templates

Nano-Imprint Lithography Infrastructure: Imprint Templates Nano-Imprint Lithography Infrastructure: Imprint Templates John Maltabes Photronics, Inc Austin, TX 1 Questions to keep in mind Imprint template manufacturability Resolution Can you get sub30nm images?

More information

Auto classification and simulation of mask defects using SEM and CAD images

Auto classification and simulation of mask defects using SEM and CAD images Auto classification and simulation of mask defects using SEM and CAD images Tung Yaw Kang, Hsin Chang Lee Taiwan Semiconductor Manufacturing Company, Ltd. 25, Li Hsin Road, Hsinchu Science Park, Hsinchu

More information

Multi-Shaped E-Beam Technology for Mask Writing

Multi-Shaped E-Beam Technology for Mask Writing Multi-Shaped E-Beam Technology for Mask Writing Juergen Gramss a, Arnd Stoeckel a, Ulf Weidenmueller a, Hans-Joachim Doering a, Martin Bloecker b, Martin Sczyrba b, Michael Finken b, Timo Wandel b, Detlef

More information

PROGRESS OF UV-NIL TEMPLATE MAKING

PROGRESS OF UV-NIL TEMPLATE MAKING PROGRESS OF UV-NIL TEMPLATE MAKING Takaaki Hiraka, Jun Mizuochi, Yuko Nakanishi, Satoshi Yusa, Shiho Sasaki, Yasutaka Morikawa, Hiroshi Mohri, and Naoya Hayashi Electronic Device Laboratory, Dai Nippon

More information

Approaching Zero Etch Bias at Cr Etch Process

Approaching Zero Etch Bias at Cr Etch Process Approaching Zero Etch Bias at Cr Etch Process Pavel Nesladek a ; Norbert Falk b ; Andreas Wiswesser a ; Renee Koch b ; Björn Sass a a Advanced Mask Technology Center, Rähnitzer Allee 9; 01109 Dresden,

More information

Wafer defects can t hide from

Wafer defects can t hide from WAFER DEFECTS Article published in Issue 3 2016 Wafer defects can t hide from Park Systems Atomic Force Microscopy (AFM) leader Park Systems has simplified 300mm silicon wafer defect review by automating

More information

EUV Mask and Wafer Defectivity: Strategy and Evaluation for Full Die Defect Inspection

EUV Mask and Wafer Defectivity: Strategy and Evaluation for Full Die Defect Inspection EUV Mask and Wafer Defectivity: Strategy and Evaluation for Full Die Defect Inspection Ravi Bonam 1, Hung-Yu Tien 2, Acer Chou 2, Luciana Meli 1, Scott Halle 1, Ivy Wu 2, Xiaoxia Huang 2, Chris Lei 2,

More information

Standard Operating Procedure of nanoir2-s

Standard Operating Procedure of nanoir2-s Standard Operating Procedure of nanoir2-s The Anasys nanoir2 system is the AFM-based nanoscale infrared (IR) spectrometer, which has a patented technique based on photothermal induced resonance (PTIR),

More information

Inspection of 32nm imprinted patterns with an advanced e-beam inspection system

Inspection of 32nm imprinted patterns with an advanced e-beam inspection system Inspection of 32nm imprinted patterns with an advanced e-beam inspection system Hong Xiao, Long (Eric) Ma, Fei Wang, Yan Zhao, and Jack Jau Hermes Microvision, Inc., 1762 Automation Parkway, San Jose,

More information

Compact multichannel MEMS based spectrometer for FBG sensing

Compact multichannel MEMS based spectrometer for FBG sensing Downloaded from orbit.dtu.dk on: Oct 22, 2018 Compact multichannel MEMS based spectrometer for FBG sensing Ganziy, Denis; Rose, Bjarke; Bang, Ole Published in: Proceedings of SPIE Link to article, DOI:

More information

Illumination Challenges in Non- Industrial Vision Applications. Simon Stanley Managing Director ProPhotonix IRL Ltd

Illumination Challenges in Non- Industrial Vision Applications. Simon Stanley Managing Director ProPhotonix IRL Ltd Illumination Challenges in Non- Industrial Vision Applications Simon Stanley Managing Director ProPhotonix IRL Ltd ProPhotonix designs and manufactures high-quality LED systems and laser modules for the

More information

Introduction and recent results of Multi-beam mask writer MBM-1000

Introduction and recent results of Multi-beam mask writer MBM-1000 Introduction and recent results of Multi-beam mask writer MBM-1000 Hiroshi Matsumoto, Yasuo Kato, Munehiro Ogasawara, Hirokazu Yamada February 23 rd, 2016 Member of the ebeam Initiative NFT s mask writer

More information

Flexible Electronics Production Deployment on FPD Standards: Plastic Displays & Integrated Circuits. Stanislav Loboda R&D engineer

Flexible Electronics Production Deployment on FPD Standards: Plastic Displays & Integrated Circuits. Stanislav Loboda R&D engineer Flexible Electronics Production Deployment on FPD Standards: Plastic Displays & Integrated Circuits Stanislav Loboda R&D engineer The world-first small-volume contract manufacturing for plastic TFT-arrays

More information

TechNote: MuraTool CA: 1 2/9/00. Figure 1: High contrast fringe ring mura on a microdisplay

TechNote: MuraTool CA: 1 2/9/00. Figure 1: High contrast fringe ring mura on a microdisplay Mura: The Japanese word for blemish has been widely adopted by the display industry to describe almost all irregular luminosity variation defects in liquid crystal displays. Mura defects are caused by

More information

Advances in Roll-to-Roll Imprint Lithography for Display Applications Using Self Aligned Imprint Lithography. John G Maltabes HP Labs

Advances in Roll-to-Roll Imprint Lithography for Display Applications Using Self Aligned Imprint Lithography. John G Maltabes HP Labs Advances in Roll-to-Roll Imprint Lithography for Display Applications Using Self Aligned Imprint Lithography John G Maltabes HP Labs Outline Introduction Roll to Roll Challenges and Benefits HP Labs Roll

More information

Semiconductors Displays Semiconductor Manufacturing and Inspection Equipment Scientific Instruments

Semiconductors Displays Semiconductor Manufacturing and Inspection Equipment Scientific Instruments Semiconductors Displays Semiconductor Manufacturing and Inspection Equipment Scientific Instruments Electronics 110-nm CMOS ASIC HDL4P Series with High-speed I/O Interfaces Hitachi has released the high-performance

More information

Recent results of Multi-beam mask writer MBM-1000

Recent results of Multi-beam mask writer MBM-1000 Recent results of Multi-beam mask writer MBM-1000 Hiroshi Matsumoto, Hiroshi Yamashita, Hideo Inoue, Kenji Ohtoshi, Hirokazu Yamada Member of the ebeam Initiative 1 NFT s mask writer roadmap 2016 Device

More information

UV Nanoimprint Tool and Process Technology. S.V. Sreenivasan December 13 th, 2007

UV Nanoimprint Tool and Process Technology. S.V. Sreenivasan December 13 th, 2007 UV Nanoimprint Tool and Process Technology S.V. Sreenivasan December 13 th, 2007 Agenda Introduction Need tool and process technology that can address: Patterning and CD control Alignment and Overlay Defect

More information

Organic light emitting diode (OLED) displays

Organic light emitting diode (OLED) displays Ultra-Short Pulse Lasers Enable Precision Flexible OLED Cutting FLORENT THIBAULT, PRODUCT LINE MANAGER, HATIM HALOUI, APPLICATION MANAGER, JORIS VAN NUNEN, PRODUCT MARKETING MANAGER, INDUSTRIAL PICOSECOND

More information

1. Publishable summary

1. Publishable summary 1. Publishable summary 1.1. Project objectives. The target of the project is to develop a highly reliable high brightness conformable low cost scalable display for demanding applications such as their

More information

Advanced Display Manufacturing Technology

Advanced Display Manufacturing Technology Advanced Display Manufacturing Technology John Busch Vice President, New Business Development Display and Flexible Technology Group September 28, 2017 Safe Harbor This presentation contains forward-looking

More information

Durham Magneto Optics Ltd. NanoMOKE 3 Wafer Mapper. Specifications

Durham Magneto Optics Ltd. NanoMOKE 3 Wafer Mapper. Specifications Durham Magneto Optics Ltd NanoMOKE 3 Wafer Mapper Specifications Overview The NanoMOKE 3 Wafer Mapper is an ultrahigh sensitivity Kerr effect magnetometer specially configured for measuring magnetic hysteresis

More information

-Technical Specifications-

-Technical Specifications- Annex I to Contract 108733 NL-Petten: the delivery, installation, warranty and maintenance of one (1) X-ray computed tomography system at the JRC-IET -Technical Specifications- INTRODUCTION In the 7th

More information

Explore the Art of Detection

Explore the Art of Detection Y.Cougar Microfocus and nanofocus X-ray inspection systems for the electronics industries Explore the Art of Detection Technology with Passion Our specialty: The Art of Detection. Developing outstanding

More information

YXLON Cougar EVO PLUS

YXLON Cougar EVO PLUS YXLON Cougar EVO PLUS The best small footprint X-ray inspection system for LABORATORY applications Technology with Passion Choose a custom-built EVO solution for premium inspection Why compromise? As technology

More information

Fabrication of Step and Flash TM Imprint Lithography Templates Using Commercial Mask Processes

Fabrication of Step and Flash TM Imprint Lithography Templates Using Commercial Mask Processes Fabrication of Step and Flash TM Imprint Lithography Templates Using Commercial Mask Processes Ecron Thompson, Peter Rhyins, Ron Voisin, S.V. Sreenivasan *, Patrick Martin Molecular Imprints, Inc., 1807C

More information

ABSTRACT. Keywords: 3D NAND, FLASH memory, Channel hole, Yield enhancement, Defect inspection, Defect reduction DISCUSSION

ABSTRACT. Keywords: 3D NAND, FLASH memory, Channel hole, Yield enhancement, Defect inspection, Defect reduction DISCUSSION Yield enhancement of 3D flash devices through broadband brightfield inspection of the channel hole process module Jung-Youl Lee a, Il-Seok Seo a, Seong-Min Ma a, Hyeon-Soo Kim a, Jin-Woong Kim a DoOh Kim

More information

Practical Application of the Phased-Array Technology with Paint-Brush Evaluation for Seamless-Tube Testing

Practical Application of the Phased-Array Technology with Paint-Brush Evaluation for Seamless-Tube Testing ECNDT 2006 - Th.1.1.4 Practical Application of the Phased-Array Technology with Paint-Brush Evaluation for Seamless-Tube Testing R.H. PAWELLETZ, E. EUFRASIO, Vallourec & Mannesmann do Brazil, Belo Horizonte,

More information

Reduction of Device Damage During Dry Etching of Advanced MMIC Devices Using Optical Emission Spectroscopy

Reduction of Device Damage During Dry Etching of Advanced MMIC Devices Using Optical Emission Spectroscopy Reduction of Device Damage During Dry Etching of Advanced MMIC Devices Using Optical Emission Spectroscopy D. Johnson, R. Westerman, M. DeVre, Y. Lee, J. Sasserath Unaxis USA, Inc. 10050 16 th Street North

More information

Electron Beam Technology

Electron Beam Technology Electron Beam Technology Speed up! High Performance Electron Beam Lithography dedicated electron beam lithography To bridge cutting-edge research and nanofabrication, a dedicated nanolithography solution

More information

At-speed testing made easy

At-speed testing made easy At-speed testing made easy By Bruce Swanson and Michelle Lange, EEdesign.com Jun 03, 2004 (5:00 PM EDT) URL: http://www.eedesign.com/article/showarticle.jhtml?articleid=21401421 Today's chip designs are

More information

NONDESTRUCTIVE INSPECTION OF A COMPOSITE MATERIAL SAMPLE USING A LASER ULTRASONICS SYSTEM WITH A BEAM HOMOGENIZER

NONDESTRUCTIVE INSPECTION OF A COMPOSITE MATERIAL SAMPLE USING A LASER ULTRASONICS SYSTEM WITH A BEAM HOMOGENIZER NONDESTRUCTIVE INSPECTION OF A COMPOSITE MATERIAL SAMPLE USING A LASER ULTRASONICS SYSTEM WITH A BEAM HOMOGENIZER J. M. S. Sakamoto 1, 4, A. Baba 2, B. R. Tittmann 3, J. Mulry 3, M. Kropf, 3 and G. M.

More information

Auto-Teach. Vision Inspection that Learns What a Good Part Is

Auto-Teach. Vision Inspection that Learns What a Good Part Is Auto-Teach Vision Inspection that Learns What a Good Part Is Jeff Johnson National Product Sales Director- Machine Vision Keyence Corporation of America Keyence Corporation Global Headquarters: Osaka Japan

More information

Transfer Radiation Thermometer With Temperature Range Of 0 C To 3,000 C

Transfer Radiation Thermometer With Temperature Range Of 0 C To 3,000 C Transfer Radiation Thermometer With Temperature Range Of 0 C To 3,000 C At 8 µm To 14 µm O. Struss 1, H-P. Vietze 2 1 HEITRONICS Infrarot Messtechnik GmbH, Wiesbaden, Germany E-mail: ortwin.struss@heitronics.com

More information

The TORCH PMT: A close packing, multi-anode, long life MCP-PMT for Cherenkov applications

The TORCH PMT: A close packing, multi-anode, long life MCP-PMT for Cherenkov applications The TORCH PMT: A close packing, multi-anode, long life MCP-PMT for Cherenkov applications James Milnes Tom Conneely 1 page 1 Photek MCP-PMTs Photek currently manufacture the fastest PMTs in the world in

More information

Perfecting the Package Bare and Overmolded Stacked Dies. Understanding Ultrasonic Technology for Advanced Package Inspection. A Sonix White Paper

Perfecting the Package Bare and Overmolded Stacked Dies. Understanding Ultrasonic Technology for Advanced Package Inspection. A Sonix White Paper Perfecting the Package Bare and Overmolded Stacked Dies Understanding Ultrasonic Technology for Advanced Package Inspection A Sonix White Paper Perfecting the Package Bare and Overmolded Stacked Dies Understanding

More information

DLP Discovery Reliability Application Note

DLP Discovery Reliability Application Note Data Sheet TI DN 2510330 Rev A March 2009 DLP Discovery Reliability Application Note May not be reproduced without permission from Texas Instruments Incorporated IMPORTANT NOTICE BEFORE USING TECHNICAL

More information

BEAMAGE 3.0 KEY FEATURES BEAM DIAGNOSTICS PRELIMINARY AVAILABLE MODEL MAIN FUNCTIONS. CMOS Beam Profiling Camera

BEAMAGE 3.0 KEY FEATURES BEAM DIAGNOSTICS PRELIMINARY AVAILABLE MODEL MAIN FUNCTIONS. CMOS Beam Profiling Camera PRELIMINARY POWER DETECTORS ENERGY DETECTORS MONITORS SPECIAL PRODUCTS OEM DETECTORS THZ DETECTORS PHOTO DETECTORS HIGH POWER DETECTORS CMOS Beam Profiling Camera AVAILABLE MODEL Beamage 3.0 (⅔ in CMOS

More information

Automatic Defect Recognition in Industrial Applications

Automatic Defect Recognition in Industrial Applications Automatic Defect Recognition in Industrial Applications Klaus Bavendiek, Frank Herold, Uwe Heike YXLON International, Hamburg, Germany INDE 2007 YXLON. The reason why 1 Different Fields for Usage of ADR

More information

Emerging Subsea Networks

Emerging Subsea Networks TECHNOLOGY FOR C+L UNDERSEA SYSTEMS Stuart Abbott, Alexei Pilipetskii, Dmitri Foursa, Haifeng Li (TE SubCom) Email: sabbott@subcom.com TE SubCom, 250 Industrial Way West, Eatontown, NJ 07724, USA Abstract:

More information

Characterization and improvement of unpatterned wafer defect review on SEMs

Characterization and improvement of unpatterned wafer defect review on SEMs Characterization and improvement of unpatterned wafer defect review on SEMs Alan S. Parkes *, Zane Marek ** JEOL USA, Inc. 11 Dearborn Road, Peabody, MA 01960 ABSTRACT Defect Scatter Analysis (DSA) provides

More information

1.2 Universiti Teknologi Brunei (UTB) reserves the right to award the tender in part or in full.

1.2 Universiti Teknologi Brunei (UTB) reserves the right to award the tender in part or in full. TENDER SPECIFICATIONS FOR THE SUPPLY, DELIVERY, INSTALLATION AND COMMISSIONING OF ONE UNIT OF VARIABLE PRESSURE ENVIRONMENTAL SCANNING ELECTRON MICROSCOPE (SEM) CUM ENERGY DISPERSIVE SPECTROSCOPY (EDS)

More information

Machine Vision System for Color Sorting Wood Edge-Glued Panel Parts

Machine Vision System for Color Sorting Wood Edge-Glued Panel Parts Machine Vision System for Color Sorting Wood Edge-Glued Panel Parts Q. Lu, S. Srikanteswara, W. King, T. Drayer, R. Conners, E. Kline* The Bradley Department of Electrical and Computer Eng. *Department

More information

Leica TCS CARS. Live Molecular Profiling Technical Documentation. Living up to Life

Leica TCS CARS. Live Molecular Profiling Technical Documentation. Living up to Life Leica TCS CARS Live Molecular Profiling Technical Documentation Living up to Life Microscopes Inverted Leica DMI6000 CS Microscope anti-vibration table Specification Vibration insulation Passive Z-drive

More information

Measurement of Microdisplays at NPL

Measurement of Microdisplays at NPL Conference on Microdisplays Measurement of Microdisplays at NPL Christine Wall, Dr Julie Taylor, Colin Campbell 14 th Sept 2001 Overview Displays measurement at NPL Why measure microdisplays? Measurement

More information

Color measurement and calibration of professional display devices

Color measurement and calibration of professional display devices White Paper Color measurement and calibration of professional display devices Abstract: With the advance of display technologies using LED light sources, the problems of color consistency, accuracy and

More information

Model-Based Mask Data Preparation (MB-MDP) and its impact on resist heating

Model-Based Mask Data Preparation (MB-MDP) and its impact on resist heating Model-Based Mask Data Preparation (MB-MDP) and its impact on resist heating Aki Fujimura* a, Takashi Kamikubo b, Ingo Bork a a D2S Inc., 4040 Moorpark Ave, Suite 250, San Jose, CA, 95117, USA; b NuFlare

More information

The hybrid photon detectors for the LHCb-RICH counters

The hybrid photon detectors for the LHCb-RICH counters 7 th International Conference on Advanced Technology and Particle Physics The hybrid photon detectors for the LHCb-RICH counters Maria Girone, CERN and Imperial College on behalf of the LHCb-RICH group

More information

Please feel free to download the Demo application software from analogarts.com to help you follow this seminar.

Please feel free to download the Demo application software from analogarts.com to help you follow this seminar. Hello, welcome to Analog Arts spectrum analyzer tutorial. Please feel free to download the Demo application software from analogarts.com to help you follow this seminar. For this presentation, we use a

More information

Figure 1. MFP-3D software tray

Figure 1. MFP-3D software tray Asylum MFP-3D AFM SOP January 2017 Purpose of this Instrument: To obtain 3D surface topography at sub-nanometer scale resolution, measure contact and friction forces between surfaces in contact, measure

More information

Flip Chip Solder Bump Characterization in 3D with X-Ray Microscopy. J. Gelb, A. Gu, L. Hunter, B. Johnson, and W.

Flip Chip Solder Bump Characterization in 3D with X-Ray Microscopy. J. Gelb, A. Gu, L. Hunter, B. Johnson, and W. Flip Chip Solder Bump Characterization in 3D with X-Ray Microscopy J. Gelb, A. Gu, L. Hunter, B. Johnson, and W. Yun July 11, 2012 3D X-Ray Microscopy (XRM) Integrated Circuit Sample 3D XRM Data Set 1

More information

Impact of DMD-SLMs errors on reconstructed Fourier holograms quality

Impact of DMD-SLMs errors on reconstructed Fourier holograms quality Journal of Physics: Conference Series PAPER OPEN ACCESS Impact of DMD-SLMs errors on reconstructed Fourier holograms quality To cite this article: D Yu Molodtsov et al 2016 J. Phys.: Conf. Ser. 737 012074

More information

GMOS CCD Upgrade Options S. Kleinman, J. Jensen 26Sep08

GMOS CCD Upgrade Options S. Kleinman, J. Jensen 26Sep08 GMOS CCD Upgrade Options S. Kleinman, J. Jensen 26Sep08 Background We are planning to upgrade the scientific capability of GMOS-N by upgrading its roughly 10 year old E2V CCDs to newer CCDs with enhanced

More information

Financial disclosure statement. Fluoroscopic Equipment Design: What s s Different with Flat Panel? Concept of flat panel imager

Financial disclosure statement. Fluoroscopic Equipment Design: What s s Different with Flat Panel? Concept of flat panel imager Fluoroscopic Equipment Design: What s s Different with Flat Panel? John Rowlands Financial disclosure statement Research supported by Anrad Corp Anrad Corp is a manufacturers of selenium based flat panel

More information

Overcoming Challenges in 3D NAND Volume Manufacturing

Overcoming Challenges in 3D NAND Volume Manufacturing Overcoming Challenges in 3D NAND Volume Manufacturing Thorsten Lill Vice President, Etch Emerging Technologies and Systems Flash Memory Summit 2017, Santa Clara 2017 Lam Research Corp. Flash Memory Summit

More information

A HIGHLY INTERACTIVE SYSTEM FOR PROCESSING LARGE VOLUMES OF ULTRASONIC TESTING DATA. H. L. Grothues, R. H. Peterson, D. R. Hamlin, K. s.

A HIGHLY INTERACTIVE SYSTEM FOR PROCESSING LARGE VOLUMES OF ULTRASONIC TESTING DATA. H. L. Grothues, R. H. Peterson, D. R. Hamlin, K. s. A HIGHLY INTERACTIVE SYSTEM FOR PROCESSING LARGE VOLUMES OF ULTRASONIC TESTING DATA H. L. Grothues, R. H. Peterson, D. R. Hamlin, K. s. Pickens Southwest Research Institute San Antonio, Texas INTRODUCTION

More information

projectors, head mounted displays in virtual or augmented reality use, electronic viewfinders

projectors, head mounted displays in virtual or augmented reality use, electronic viewfinders Beatrice Beyer Figure 1. (OLED) microdisplay with a screen diagonal of 16 mm. Figure 2. CMOS cross section with OLED on top. Usually as small as fingernails, but of very high resolution Optical system

More information

Fabrication of Lithium Niobate nanopillars using Focused Ion Beam (FIB)

Fabrication of Lithium Niobate nanopillars using Focused Ion Beam (FIB) Fabrication of Lithium Niobate nanopillars using Focused Ion Beam (FIB) Final report for Nanofabrication with Focused Ion and Electron beams course (SK3750) Amin Baghban June 2015 1- Introduction Thanks

More information

Developing an AFM-based Automatic Tool for NanoAsperity Quantification

Developing an AFM-based Automatic Tool for NanoAsperity Quantification Developing an AFM-based Automatic Tool for NanoAsperity Quantification September 18, 2008 Sergey Belikov*, Lin Huang, Jian Shi, Ji Ma, Jianli He, Bob Tench, and Chanmin Su Veeco Instruments Inc., Santa

More information

Defect Reduction for Semiconductor Memory Applications Using Jet And Flash Imprint Lithography

Defect Reduction for Semiconductor Memory Applications Using Jet And Flash Imprint Lithography Defect Reduction for Semiconductor Memory Applications Using Jet And Flash Imprint Lithography Zhengmao Ye, Kang Luo, Xiaoming Lu, Brian Fletcher, Weijun Liu, Frank Xu, Dwayne LaBrake, Douglas Resnick,

More information

KRAMER ELECTRONICS LTD. USER MANUAL

KRAMER ELECTRONICS LTD. USER MANUAL KRAMER ELECTRONICS LTD. USER MANUAL MODEL: Projection Curved Screen Blend Guide How to blend projection images on a curved screen using the Warp Generator version K-1.4 Introduction The guide describes

More information

Failure Analysis Technology for Advanced Devices

Failure Analysis Technology for Advanced Devices ISHIYAMA Toshio, WADA Shinichi, KUZUMI Hajime, IDE Takashi Abstract The sophistication of functions, miniaturization and reduced weight of household appliances and various devices have been accelerating

More information

SPATIAL LIGHT MODULATORS

SPATIAL LIGHT MODULATORS SPATIAL LIGHT MODULATORS Reflective XY Series Phase and Amplitude 512x512 A spatial light modulator (SLM) is an electrically programmable device that modulates light according to a fixed spatial (pixel)

More information

Abstract. Keywords INTRODUCTION. Electron beam has been increasingly used for defect inspection in IC chip

Abstract. Keywords INTRODUCTION. Electron beam has been increasingly used for defect inspection in IC chip Abstract Based on failure analysis data the estimated failure mechanism in capacitor like device structures was simulated on wafer in Front End of Line. In the study the optimal process step for electron

More information

Transmissive XBPM developments at PSF/BESSY. Martin R. Fuchs

Transmissive XBPM developments at PSF/BESSY. Martin R. Fuchs Transmissive XBPM developments at PSF/BESSY Martin R. Fuchs Acknowledgments PSF Martin Fieber-Erdmann Ronald Förster Uwe Müller BESSY Karsten Blümer Karsten Holldack Gerd Reichardt Franz Schäfers BIOXHIT,

More information

111 Highland Drive Putnam, CT USA PHONE (860) FAX (860) SM32Pro SDK

111 Highland Drive Putnam, CT USA PHONE (860) FAX (860) SM32Pro SDK SM32Pro SDK Spectrometer Operating -Software Development Kit- USER MANUAL For USB 2.0 Multi-channel User Only Table of Contents Warranty and Liability...3 Location of the SDK source code for USB 2.0...4

More information

Videotape to digital files solutions

Videotape to digital files solutions Front Porch Digital Videotape to digital files solutions The past, present and future of media Front Porch Digital Solutions Eliminating the pain of analog videotapes You don t want to think about it but

More information

PUBLISHABLE Summary To provide OLED stacks with improved reliability Provide improved thin film encapsulation

PUBLISHABLE Summary To provide OLED stacks with improved reliability Provide improved thin film encapsulation PUBLISHABLE Summary SCOOP is a European funded project (FP7 project number 287595 SCOOP). It is focused on OLED technology, microdisplays based on the combination of OLED with CMOS technology, and innovative

More information

Lossless Compression Algorithms for Direct- Write Lithography Systems

Lossless Compression Algorithms for Direct- Write Lithography Systems Lossless Compression Algorithms for Direct- Write Lithography Systems Hsin-I Liu Video and Image Processing Lab Department of Electrical Engineering and Computer Science University of California at Berkeley

More information

BTC and SMT Rework Challenges

BTC and SMT Rework Challenges BTC and SMT Rework Challenges Joerg Nolte Ersa GmbH Wertheim, Germany Abstract Rising customer demands in the field of PCB repair are a daily occurrence as the rapid electronic industry follows new trends

More information

NDT Applications of All-Electronic 3D Terahertz Imaging

NDT Applications of All-Electronic 3D Terahertz Imaging Introduction NDT Applications of All-Electronic 3D Terahertz Imaging Stefan BECKER *, Andreas Keil *, Heinrich Nolting * * Becker Photonik GmbH, D-32457 Porta Westfalica, Germany! Basics of All-Electronic

More information

Results on 0.7% X0 thick Pixel Modules for the ATLAS Detector.

Results on 0.7% X0 thick Pixel Modules for the ATLAS Detector. Results on 0.7% X0 thick Pixel Modules for the ATLAS Detector. INFN Genova: R.Beccherle, G.Darbo, G.Gagliardi, C.Gemme, P.Netchaeva, P.Oppizzi, L.Rossi, E.Ruscino, F.Vernocchi Lawrence Berkeley National

More information

Is Optical Test Just an Illusion? By Lloyd Doyle. Background

Is Optical Test Just an Illusion? By Lloyd Doyle. Background Is Optical Test Just an Illusion? By Lloyd Doyle Background Automatic Optical Test first came to the printed circuits industry in the mid-1980s when its inventors were frustrated at buying their prototype

More information

High ResolutionCross Strip Anodes for Photon Counting detectors

High ResolutionCross Strip Anodes for Photon Counting detectors High ResolutionCross Strip Anodes for Photon Counting detectors Oswald H.W. Siegmund, Anton S. Tremsin, Robert Abiad, J. Hull and John V. Vallerga Space Sciences Laboratory University of California Berkeley,

More information

PulseCounter Neutron & Gamma Spectrometry Software Manual

PulseCounter Neutron & Gamma Spectrometry Software Manual PulseCounter Neutron & Gamma Spectrometry Software Manual MAXIMUS ENERGY CORPORATION Written by Dr. Max I. Fomitchev-Zamilov Web: maximus.energy TABLE OF CONTENTS 0. GENERAL INFORMATION 1. DEFAULT SCREEN

More information

Industrial Inline Control for Advanced Vacuum Roll to Roll Systems. Gerhard Steiniger Web inspection - surface Quallity control 7.

Industrial Inline Control for Advanced Vacuum Roll to Roll Systems. Gerhard Steiniger Web inspection - surface Quallity control 7. Industrial Inline Control for Advanced Vacuum Roll to Roll Systems Gerhard Steiniger Web inspection - surface Quallity control 7.4-7684 1 Industrial Inline Control for Advanced Vacuum Roll to Roll Systems

More information

Laser Beam Analyser Laser Diagnos c System. If you can measure it, you can control it!

Laser Beam Analyser Laser Diagnos c System. If you can measure it, you can control it! Laser Beam Analyser Laser Diagnos c System If you can measure it, you can control it! Introduc on to Laser Beam Analysis In industrial -, medical - and laboratory applications using CO 2 and YAG lasers,

More information

Sealed Linear Encoders with Single-Field Scanning

Sealed Linear Encoders with Single-Field Scanning Linear Encoders Angle Encoders Sealed Linear Encoders with Single-Field Scanning Rotary Encoders 3-D Touch Probes Digital Readouts Controls HEIDENHAIN linear encoders are used as position measuring systems

More information

PSC300 Operation Manual

PSC300 Operation Manual PSC300 Operation Manual Version 9.10 General information Prior to any attempt to operate this Columbia PSC 300, operator should read and understand the complete operation of the cubing system. It is very

More information

FAST MOBILITY PARTICLE SIZER SPECTROMETER MODEL 3091

FAST MOBILITY PARTICLE SIZER SPECTROMETER MODEL 3091 FAST MOBILITY PARTICLE SIZER SPECTROMETER MODEL 3091 MEASURES SIZE DISTRIBUTION AND NUMBER CONCENTRATION OF RAPIDLY CHANGING SUBMICROMETER AEROSOL PARTICLES IN REAL-TIME UNDERSTANDING, ACCELERATED IDEAL

More information

Taking Technology to the Marketplace. Aram Mooradian Founder & CTO Sunnyvale, CA, USA

Taking Technology to the Marketplace. Aram Mooradian Founder & CTO Sunnyvale, CA, USA Taking Technology to the Marketplace Aram Mooradian Founder & CTO Sunnyvale, CA, USA aram@novalux.com Requirements Market Technology Product Price Timing Good Investors Good People Path to Success Absolutely

More information

Press Release Plastic Electronics 2013 October 8th 10th, 2013, in Dresden/Germany Hall 2, booth no. 292 (joint booth of Organic Electronic Saxony)

Press Release Plastic Electronics 2013 October 8th 10th, 2013, in Dresden/Germany Hall 2, booth no. 292 (joint booth of Organic Electronic Saxony) Press Release Plastic Electronics 2013 October 8th 10th, 2013, in Dresden/Germany Hall 2, booth no. 292 (joint booth of Organic Electronic Saxony) Flexible OLED from the roll Tridonic Dresden and Fraunhofer

More information

PRACTICAL APPLICATION OF THE PHASED-ARRAY TECHNOLOGY WITH PAINT-BRUSH EVALUATION FOR SEAMLESS-TUBE TESTING

PRACTICAL APPLICATION OF THE PHASED-ARRAY TECHNOLOGY WITH PAINT-BRUSH EVALUATION FOR SEAMLESS-TUBE TESTING PRACTICAL APPLICATION OF THE PHASED-ARRAY TECHNOLOGY WITH PAINT-BRUSH EVALUATION FOR SEAMLESS-TUBE TESTING R.H. Pawelletz, E. Eufrasio, Vallourec & Mannesmann do Brazil, Belo Horizonte, Brazil; B. M. Bisiaux,

More information

Multifrequency Eddy Current Inspection of Rivetrows on Aircraft Structures

Multifrequency Eddy Current Inspection of Rivetrows on Aircraft Structures ECNDT 2006 - Tu.4.4.1 Multifrequency Eddy Current Inspection of Rivetrows on Aircraft Structures Gerhard SCHEER, Lars FRISCHE, Test Maschinen Technik, Schwarmstedt, Germany Theodor MEIER, Airbus Deutschland,

More information

Selected Problems of Display and Projection Color Measurement

Selected Problems of Display and Projection Color Measurement Application Note 27 JETI Technische Instrumente GmbH Tatzendpromenade 2 D - 07745 Jena Germany Tel. : +49 3641 225 680 Fax : +49 3641 225 681 e-mail : sales@jeti.com Internet : www.jeti.com Selected Problems

More information

Performance of the MCP-PMT for the Belle II TOP counter

Performance of the MCP-PMT for the Belle II TOP counter Performance of the MCP-PMT for the Belle II TOP counter Kodai Matsuoka (KMI, Nagoya Univ.) S. Hirose, T. Iijima, K. Inami, Y. Kato, Y. Maeda, R. Mizuno, Y. Sato, K. Suzuki (Nagoya Univ.) TOP (Time Of Propagation)

More information

CARESTREAM DIRECTVIEW Elite CR System

CARESTREAM DIRECTVIEW Elite CR System CARESTREAM DIRECTVIEW Elite CR System Improve workflow, productivity, and patient throughput. The CARESTREAM DIRECTVIEW Elite CR System is small, easy to install and easy to use. This powerful distributed

More information

Development of OLED Lighting Panel with World-class Practical Performance

Development of OLED Lighting Panel with World-class Practical Performance 72 Development of OLED Lighting Panel with World-class Practical Performance TAKAMURA MAKOTO *1 TANAKA JUNICHI *2 MORIMOTO MITSURU *2 MORI KOICHI *3 HORI KEIICHI *4 MUSHA MASANORI *5 Using its proprietary

More information

Comparison of SONY ILX511B CCD and Hamamatsu S10420 BT-CCD for VIS Spectroscopy

Comparison of SONY ILX511B CCD and Hamamatsu S10420 BT-CCD for VIS Spectroscopy Comparison of SONY ILX511B CCD and Hamamatsu S10420 BT-CCD for VIS Spectroscopy Technical Note Thomas Rasmussen VP Business Development, Sales, and Marketing Publication Version: March 16 th, 2013-1 -

More information

Pressure sensor. Surface Micromachining. Residual stress gradients. Class of clean rooms. Clean Room. Surface micromachining

Pressure sensor. Surface Micromachining. Residual stress gradients. Class of clean rooms. Clean Room. Surface micromachining Pressure sensor Surface Micromachining Deposit sacrificial layer Si PSG By HF Poly by XeF2 Pattern anchors Deposit/pattern structural layer Etch sacrificial layer Surface micromachining Structure sacrificial

More information

Electron Beam Technology

Electron Beam Technology Electron Beam Technology Best of both worlds: Direct write and direct view Ultra High Resolution Electron Beam Lithography and Scanning Electron Microscope Imaging MULTI TECHNIQUE ELECTRON BEAM LITHOGRAPHY

More information

CCD Element Linear Image Sensor CCD Element Line Scan Image Sensor

CCD Element Linear Image Sensor CCD Element Line Scan Image Sensor 1024-Element Linear Image Sensor CCD 134 1024-Element Line Scan Image Sensor FEATURES 1024 x 1 photosite array 13µm x 13µm photosites on 13µm pitch Anti-blooming and integration control Enhanced spectral

More information

CARESTREAM DIRECTVIEW Elite CR System

CARESTREAM DIRECTVIEW Elite CR System CARESTREAM DIRECTVIEW Elite CR System Improve workflow, productivity, and patient throughput. The CARESTREAM DIRECTVIEW Elite CR System is small, easy to install and easy to use. This powerful distributed

More information

E X P E R I M E N T 1

E X P E R I M E N T 1 E X P E R I M E N T 1 Getting to Know Data Studio Produced by the Physics Staff at Collin College Copyright Collin College Physics Department. All Rights Reserved. University Physics, Exp 1: Getting to

More information

Concept of Operations (CONOPS)

Concept of Operations (CONOPS) PRODUCT 0-6873-P1 TxDOT PROJECT NUMBER 0-6873 Concept of Operations (CONOPS) Jorge A. Prozzi Christian Claudel Andre Smit Praveen Pasupathy Hao Liu Ambika Verma June 2016; Published March 2017 http://library.ctr.utexas.edu/ctr-publications/0-6873-p1.pdf

More information

LEP400 Etch Depth Monitor Real-time, in-situ plasma etch depth monitoring and end point control plus co-linear wafer vision system

LEP400 Etch Depth Monitor Real-time, in-situ plasma etch depth monitoring and end point control plus co-linear wafer vision system LEP400 Etch Depth Monitor Real-time, in-situ plasma etch depth monitoring and end point control plus co-linear wafer vision system Base Configuration Etch Depth Monitoring LEP400 Recessed Window Plasma

More information

Wafer Thinning and Thru-Silicon Vias

Wafer Thinning and Thru-Silicon Vias Wafer Thinning and Thru-Silicon Vias The Path to Wafer Level Packaging jreche@trusi.com Summary A new dry etching technology Atmospheric Downstream Plasma (ADP) Etch Applications to Packaging Wafer Thinning

More information

APPLICATION OF PHASED ARRAY ULTRASONIC TEST EQUIPMENT TO THE QUALIFICATION OF RAILWAY COMPONENTS

APPLICATION OF PHASED ARRAY ULTRASONIC TEST EQUIPMENT TO THE QUALIFICATION OF RAILWAY COMPONENTS APPLICATION OF PHASED ARRAY ULTRASONIC TEST EQUIPMENT TO THE QUALIFICATION OF RAILWAY COMPONENTS K C Arcus J Cookson P J Mutton SUMMARY Phased array ultrasonic testing is becoming common in a wide range

More information