Overcoming Challenges in 3D NAND Volume Manufacturing

Size: px
Start display at page:

Download "Overcoming Challenges in 3D NAND Volume Manufacturing"

Transcription

1 Overcoming Challenges in 3D NAND Volume Manufacturing Thorsten Lill Vice President, Etch Emerging Technologies and Systems Flash Memory Summit 2017, Santa Clara 2017 Lam Research Corp. Flash Memory Summit 1

2 Topics Introduction Video showing 3D NAND manufacturing process Etch and deposition process challenges and solutions for 3D NAND Process control on-tool solutions Summary 2017 Lam Research Corp. Flash Memory Summit 2

3 Deposition and Etch Processes Define 3D NAND Memory Array 2017 Lam Research Corp. Flash Memory Summit 3

4 Deposition and Etch Processes Define 3D NAND Memory Array 2017 Lam Research Corp. Flash Memory Summit 4

5 Deposition and Etch Processes Define 3D NAND Memory Array Single Memory Cell Bitline: Metal fill Contact: Metal fill Stack: Alternating film deposition Slit: High aspect ratio etch Channel: High aspect ratio etch Wordline: Metal fill Stair: Staircase etch 2017 Lam Research Corp. Flash Memory Summit 5

6 Deposition and Etch Processes Define 3D NAND Memory Array Single Memory Cell Bitline: Metal fill SABRE copper plating VECTOR Strata deposition Stack: Alternating film deposition Flex HAR etch Slit: High aspect ratio etch Flex HAR etch Channel: High aspect ratio etch Wordline: Metal fill ALTUS CVD/ALD deposition Contact: Metal fill Stair: Staircase etch ALTUS CVD/ALD deposition Kiyo conductor etch 2017 Lam Research Corp. Flash Memory Summit 6

7 3D NAND Etch Process Challenges: Lam Etch Solutions Etch Challenges Within-Die Lam Solutions #1 in deposition and etch markets served Multi Level Contact: High aspect ratio etch Flex dielectric etch Across Wafer Stair: Staircase etch Kiyo conductor etch Wafer Edge Slit: High aspect ratio etch Flex dielectric etch Channel: High aspect ratio etch Flex dielectric etch 2017 Lam Research Corp. Flash Memory Summit 7

8 3D NAND Etch Process Challenges: Etching High Aspect Ratios Etch Challenges Within-Die Aspect Ratio = 9:1 Aspect Ratio = >40:1 Across Wafer Wafer Edge The Burj Khalifa, tallest structure in the world Channel hole etched for 90+ layer 3D NAND 2017 Lam Research Corp. Flash Memory Summit 8

9 3D NAND Etch Process Challenges: Etching High Aspect Ratios Etch Challenges Within-Die CD variation at top vs. bottom Bowing Flex channel hole etch Atomic-scale process control is required in addition to micron-scale etched depths Across Wafer Wafer Edge Incomplete etch Twisting Etched profile control precision: Angstroms Etch depth capability: Microns 2017 Lam Research Corp. Flash Memory Summit 9

10 3D NAND Etch Process Challenges: Uniform Etch Across the Wafer Etch Challenges Within-Die Across Wafer Channel holes etched Kiyo patterning etch Mask open patterning fidelity > 1 trillion per wafer improves channel uniformity Manufacturing sequence: A 1. Post litho A B 2. Hardmask etch A CD A CD B CD variation Pre-etch CD variation ~2 nm After etch with Kiyo <0.5 nm Wafer Edge B 3. Channel hole etch A CD A = CD B CD uniformity improved B CD A = CD B Uniform CD transferred Distribution of CDs after etch 5 Å, 3σ 2017 Lam Research Corp. Flash Memory Summit 10

11 3D NAND Etch Process Challenges: Extreme Edge Control of Yield Etch Challenges Within-Die Strange things happen at the edge of the wafer Finite wafer size creates gradients in fluxes of ions and neutrals Beyond wafer Across Wafer Ion Flux Wafer Edge Relative Values Neutral Flux Wafer Outer 8 mm of wafer: ~10% of die Wafer Cross-Section (mm) 2017 Lam Research Corp. Flash Memory Summit 11

12 3D NAND Etch Process Challenges: Extreme Edge Control of Yield Etch Challenges Within-Die Strange things happen at the edge of the wafer [CD bias, nm] Finite wafer size creates gradients in fluxes of ions and neutrals Beyond wafer Across Wafer Ion Flux Wafer Edge Wafer Relative Values Neutral Flux Wafer Example: Non-uniform CDs at wafer edge Wafer Cross-Section (mm) 2017 Lam Research Corp. Flash Memory Summit 12

13 3D NAND Etch Process Challenges: Extreme Edge Control of Yield Etch Challenges Within-Die Edge yield can now be optimized during etch: Corvus edge yield control [Etch Rate, norm.] 0 Across Wafer 5 0 Setting A Edge Fast Setting B Flat Wafer Edge 5 Wafer Wafer Cross-Section (mm) Setting C Edge Slow 2017 Lam Research Corp. Flash Memory Summit 13

14 3D NAND Etch Process Challenges and Lam Etch Solutions Within-Die Across Wafer Wafer Edge Flex high aspect ratio etch >40:1 etch capability Kiyo patterning capability 5 Å, 3σ uniformity of CDs Corvus edge yield solution available on Lam etch modules 2017 Lam Research Corp. Flash Memory Summit 14

15 3D NAND Etch Process Challenges: Lam Deposition Solutions Deposition Challenges ONON Stack Dep Lam Solutions #1 in deposition and etch markets served Bitline: Copper metal fill SABRE electroplating Hardmask Dep Contact: Tungsten metal fill ALTUS CVD/ALD deposition Wordline Fill wide-16x9w-v2 Stack: Alternating film deposition VECTOR Strata PECVD deposition Word Line: Tungsten metal fill ALTUS CVD/ALD deposition 2017 Lam Research Corp. Flash Memory Summit 15

16 3D NAND ONON Stack Deposition Challenge: Uniform, Low Stress Stack Deposition Deposition Challenges ONON Stack Dep Layers deposited > 200 pairs per stack Single Memory Cell VECTOR Strata ONON Stack Deposition Excellent film thickness control enables narrower threshold voltage distributions Uniform ON thickness in >200 pair stack Hardmask Dep VECTOR Strata N layer thickness < 0.5nm variation N layer thickness defines the device gate length Litho-defined gate CD variation wide-16x9w-v2 Wordline Fill Consistent, uniform film deposition is critical for patterning accuracy and electrical performance 2017 Lam Research Corp. Flash Memory Summit 16

17 3D NAND Hardmask Deposition Challenge: Mask Selectivity Deposition Challenges ONON Stack Dep Etch rate slows down with increasing mask + ONON thickness VECTOR AHM Hardmask Deposition New highly selective hardmask material enables thinner mask Hardmask Dep Wordline Fill Mask thickness For a given etch process, etch rate slows due to increased aspect ratio Stack thickness Low selectivity mask materials High selectivity mask materials Required Mask thickness Current Mask Material 75% thinner mask with new material New Mask Material Thinner hardmask enables etch of thicker ONON stack 2017 Lam Research Corp. Flash Memory Summit 17

18 .3µm 3D NAND Wordline Fill Challenge: Moving Reactants In and By-Products Out Uniform void-free fill difficult in deep 3D structures ONON Stack Dep Hardware design changes address these difficulties Reactant Saturation on Wafer 1.3µm Slit Slit Deposition Challenges 2ms Channel Hole diameter (100nm) Hardmask Dep 20ms Channe l Hole Channel Hole spacing (25nm) W 6.1 µm Top View Reactant pre-charge volumes increase dose Uniform W deposition top to bottom layer Reduced cycle times By-Products Removal Wordline Fill 3 wide-16x9w-v Combine lower temp nucleation (station 1) and high temp bulk fill (stations 2-4) Reduced F in the film Lower Rs Quad-station module (QSM) enables faster temperature transitions Higher productivity 2017 Lam Research Corp. Flash Memory Summit 18

19 Lam Process Control On-Tool Solutions Achieve Faster Learning Rate, Reduce Total Cost of Process Control Improved Productivity In situ, real-time sensors for endpoint control Big data analytics for fault detection, drift control and fast matching Every Wafer Process Control Feedforward and feedback control with integrated metrology Customizable APC algorithms Virtual metrology Expanded Process Window Litho-etch integrated tuning solutions for CD and overlay Computational models enable improved process window Real Time Depth Evolution End Point Control Optical Spectra Change in Mass Algorithms Pre Post Process Window Incoming Corrected Lam expanding capability with collaborations and continued investment 2017 Lam Research Corp. Flash Memory Summit 19

20 Summary 3D NAND manufacturing is deposition and etch intensive Increasing number of layers present unique challenges in delivering high aspect ratio structures with atomic scale process control Various options examined to extend 3D NAND roadmap to achieve higher bit density and lower cost Solutions presented for: Uniform high aspect ratio etch with extreme edge control of yield Low stress stack depositions, mask selectivity, and wordline fill 2017 Lam Research Corp. Flash Memory Summit 20

21

Leveraging 300 mm Technology Solutions to Enable New MEMS Process Capabilities

Leveraging 300 mm Technology Solutions to Enable New MEMS Process Capabilities Leveraging 300 mm Technology Solutions to Enable New MEMS Process Capabilities Evan Patton Semicon Europa November 2017 Lam Research Corp. 1 Presentation Outline The Internet of Things (IoT) as a market

More information

Self-Aligned Double Patterning for 3xnm Flash Production

Self-Aligned Double Patterning for 3xnm Flash Production Self-Aligned Double Patterning for 3xnm Flash Production Chris Ngai Dir of Process Engineering & Lithography Maydan Technology Center Group Applied Materials, Inc. July 16 th, 2008 Overview Double Patterning

More information

Applied Materials. 200mm Tools & Process Capabilities For Next Generation MEMS. Dr Michel (Mike) Rosa

Applied Materials. 200mm Tools & Process Capabilities For Next Generation MEMS. Dr Michel (Mike) Rosa Applied Materials 200mm Tools & Process Capabilities For Next Generation MEMS Dr Michel (Mike) Rosa 200mm MEMS Global Product / Marketing Manager, Components and Systems Group (CSG), Applied Global Services

More information

Advances in Roll-to-Roll Imprint Lithography for Display Applications Using Self Aligned Imprint Lithography. John G Maltabes HP Labs

Advances in Roll-to-Roll Imprint Lithography for Display Applications Using Self Aligned Imprint Lithography. John G Maltabes HP Labs Advances in Roll-to-Roll Imprint Lithography for Display Applications Using Self Aligned Imprint Lithography John G Maltabes HP Labs Outline Introduction Roll to Roll Challenges and Benefits HP Labs Roll

More information

Flexible Electronics Production Deployment on FPD Standards: Plastic Displays & Integrated Circuits. Stanislav Loboda R&D engineer

Flexible Electronics Production Deployment on FPD Standards: Plastic Displays & Integrated Circuits. Stanislav Loboda R&D engineer Flexible Electronics Production Deployment on FPD Standards: Plastic Displays & Integrated Circuits Stanislav Loboda R&D engineer The world-first small-volume contract manufacturing for plastic TFT-arrays

More information

Semiconductors Displays Semiconductor Manufacturing and Inspection Equipment Scientific Instruments

Semiconductors Displays Semiconductor Manufacturing and Inspection Equipment Scientific Instruments Semiconductors Displays Semiconductor Manufacturing and Inspection Equipment Scientific Instruments Electronics 110-nm CMOS ASIC HDL4P Series with High-speed I/O Interfaces Hitachi has released the high-performance

More information

Deep Silicon Etch Technology for Advanced MEMS Applications

Deep Silicon Etch Technology for Advanced MEMS Applications Deep Silicon Etch Technology for Advanced MEMS Applications Shenjian Liu, Ph.D. Managing Director, AMEC AMEC Company Profile and Product Line-up AMEC HQ, R&D and MF Facility in Shanghai AMEC Taiwan AMEC

More information

Possible Paths for Cu CMP

Possible Paths for Cu CMP Possible Paths for Cu CMP J.S. Drewery, V. Hardikar, S.T. Mayer, H. Meinhold, F. Juarez, and J. Svirchevski Presented by Julia Svirchevski Agenda Perceived Need for ECMP Technology Differentiation Profile

More information

Reduction of Device Damage During Dry Etching of Advanced MMIC Devices Using Optical Emission Spectroscopy

Reduction of Device Damage During Dry Etching of Advanced MMIC Devices Using Optical Emission Spectroscopy Reduction of Device Damage During Dry Etching of Advanced MMIC Devices Using Optical Emission Spectroscopy D. Johnson, R. Westerman, M. DeVre, Y. Lee, J. Sasserath Unaxis USA, Inc. 10050 16 th Street North

More information

I. Introduction. II. Problem

I. Introduction. II. Problem Wiring Deformable Mirrors for Curvature Adaptive Optics Systems Joshua Shiode Boston University, IfA REU 2005 Sarah Cook University of Hawaii, IfA REU 2005 Mentor: Christ Ftaclas Institute for Astronomy,

More information

Recent results of Multi-beam mask writer MBM-1000

Recent results of Multi-beam mask writer MBM-1000 Recent results of Multi-beam mask writer MBM-1000 Hiroshi Matsumoto, Hiroshi Yamashita, Hideo Inoue, Kenji Ohtoshi, Hirokazu Yamada Member of the ebeam Initiative 1 NFT s mask writer roadmap 2016 Device

More information

UV Nanoimprint Tool and Process Technology. S.V. Sreenivasan December 13 th, 2007

UV Nanoimprint Tool and Process Technology. S.V. Sreenivasan December 13 th, 2007 UV Nanoimprint Tool and Process Technology S.V. Sreenivasan December 13 th, 2007 Agenda Introduction Need tool and process technology that can address: Patterning and CD control Alignment and Overlay Defect

More information

ABSTRACT. Keywords: 3D NAND, FLASH memory, Channel hole, Yield enhancement, Defect inspection, Defect reduction DISCUSSION

ABSTRACT. Keywords: 3D NAND, FLASH memory, Channel hole, Yield enhancement, Defect inspection, Defect reduction DISCUSSION Yield enhancement of 3D flash devices through broadband brightfield inspection of the channel hole process module Jung-Youl Lee a, Il-Seok Seo a, Seong-Min Ma a, Hyeon-Soo Kim a, Jin-Woong Kim a DoOh Kim

More information

The Transition to Patterned Media in Hard Disk Drives

The Transition to Patterned Media in Hard Disk Drives The Transition to Patterned Media in Hard Disk Drives The Evolution of Jet and Flash Imprint Lithography for Patterned Media DISKCON San Jose Sept 24 rd, 2009 Paul Hofemann, Vice President, HDD Future

More information

Advancements in Acoustic Micro-Imaging Tuesday October 11th, 2016

Advancements in Acoustic Micro-Imaging Tuesday October 11th, 2016 Central Texas Electronics Association Advancements in Acoustic Micro-Imaging Tuesday October 11th, 2016 A review of the latest advancements in Acoustic Micro-Imaging for the non-destructive inspection

More information

P-224: Damage-Free Cathode Coating Process for OLEDs

P-224: Damage-Free Cathode Coating Process for OLEDs P-224: Damage-Free Cathode Coating Process for OLEDs Shiva Prakash DuPont Displays, 6 Ward Drive, Santa Barbara, CA 937, USA Abstract OLED displays require the growth of inorganic films over organic films.

More information

DOUBLE PATTERNING CHALLENGES FOR 20nm TECHNOLOGY

DOUBLE PATTERNING CHALLENGES FOR 20nm TECHNOLOGY DOUBLE PATTERNING CHALLENGES FOR 20nm TECHNOLOGY SEMICON DRESDEN TechARENA OCTOBER 12 th 2011 Vincent Farys, Bertrand Le-Gratiet, Pierre-Jérôme Goirand STMicroelectronics Crolles 2 OUTLINE Lithography

More information

Next Generation of Poly-Si TFT Technology: Material Improvements and Novel Device Architectures for System-On-Panel (SOP)

Next Generation of Poly-Si TFT Technology: Material Improvements and Novel Device Architectures for System-On-Panel (SOP) Next Generation of Poly-Si TFT Technology: Material Improvements and Novel Device Architectures for System-On-Panel (SOP) Tolis Voutsas* Paul Schuele* Bert Crowder* Pooran Joshi* Robert Sposili* Hidayat

More information

Challenges for OLED Deposition by Vacuum Thermal Evaporation. D. W. Gotthold, M. O Steen, W. Luhman, S. Priddy, C. Counts, C.

Challenges for OLED Deposition by Vacuum Thermal Evaporation. D. W. Gotthold, M. O Steen, W. Luhman, S. Priddy, C. Counts, C. Challenges for OLED Deposition by Vacuum Thermal Evaporation D. W. Gotthold, M. O Steen, W. Luhman, S. Priddy, C. Counts, C. Roth June 7, 2011 Outline Introduction to Veeco Methods of OLED Deposition Cost

More information

Wafer Thinning and Thru-Silicon Vias

Wafer Thinning and Thru-Silicon Vias Wafer Thinning and Thru-Silicon Vias The Path to Wafer Level Packaging jreche@trusi.com Summary A new dry etching technology Atmospheric Downstream Plasma (ADP) Etch Applications to Packaging Wafer Thinning

More information

Screen investigations for low energetic electron beams at PITZ

Screen investigations for low energetic electron beams at PITZ 1 Screen investigations for low energetic electron beams at PITZ S. Rimjaem, J. Bähr, H.J. Grabosch, M. Groß Contents Review of PITZ setup Screens and beam profile monitors at PITZ Test results Summary

More information

Transforming Electronic Interconnect Breaking through historical boundaries Tim Olson Founder & CTO

Transforming Electronic Interconnect Breaking through historical boundaries Tim Olson Founder & CTO Transforming Electronic Interconnect Breaking through historical boundaries Tim Olson Founder & CTO Remember when? There were three distinct industries Wafer Foundries SATS EMS Semiconductor Devices Nanometers

More information

Comparative Analysis of Organic Thin Film Transistor Structures for Flexible E-Paper and AMOLED Displays

Comparative Analysis of Organic Thin Film Transistor Structures for Flexible E-Paper and AMOLED Displays Comparative Analysis of Organic Thin Film Transistor Structures for Flexible E-Paper and AMOLED Displays Linrun Feng, Xiaoli Xu and Xiaojun Guo ECS Trans. 2011, Volume 37, Issue 1, Pages 105-112. doi:

More information

Perfecting the Package Bare and Overmolded Stacked Dies. Understanding Ultrasonic Technology for Advanced Package Inspection. A Sonix White Paper

Perfecting the Package Bare and Overmolded Stacked Dies. Understanding Ultrasonic Technology for Advanced Package Inspection. A Sonix White Paper Perfecting the Package Bare and Overmolded Stacked Dies Understanding Ultrasonic Technology for Advanced Package Inspection A Sonix White Paper Perfecting the Package Bare and Overmolded Stacked Dies Understanding

More information

Advanced Display Manufacturing Technology

Advanced Display Manufacturing Technology Advanced Display Manufacturing Technology John Busch Vice President, New Business Development Display and Flexible Technology Group September 28, 2017 Safe Harbor This presentation contains forward-looking

More information

NXP t505f Smart Card RFID Die Embedded NOR Flash Die From Smart Card World MIFARE Ultralight C

NXP t505f Smart Card RFID Die Embedded NOR Flash Die From Smart Card World MIFARE Ultralight C NXP t505f Smart Card RFID Die Die From Smart Card World MIFARE Ultralight C Custom Process Analysis For comments, questions, or more information about this report, or for any additional technical needs

More information

Abstract. Keywords INTRODUCTION. Electron beam has been increasingly used for defect inspection in IC chip

Abstract. Keywords INTRODUCTION. Electron beam has been increasingly used for defect inspection in IC chip Abstract Based on failure analysis data the estimated failure mechanism in capacitor like device structures was simulated on wafer in Front End of Line. In the study the optimal process step for electron

More information

Pressure sensor. Surface Micromachining. Residual stress gradients. Class of clean rooms. Clean Room. Surface micromachining

Pressure sensor. Surface Micromachining. Residual stress gradients. Class of clean rooms. Clean Room. Surface micromachining Pressure sensor Surface Micromachining Deposit sacrificial layer Si PSG By HF Poly by XeF2 Pattern anchors Deposit/pattern structural layer Etch sacrificial layer Surface micromachining Structure sacrificial

More information

LEP400 Etch Depth Monitor Real-time, in-situ plasma etch depth monitoring and end point control plus co-linear wafer vision system

LEP400 Etch Depth Monitor Real-time, in-situ plasma etch depth monitoring and end point control plus co-linear wafer vision system LEP400 Etch Depth Monitor Real-time, in-situ plasma etch depth monitoring and end point control plus co-linear wafer vision system Base Configuration Etch Depth Monitoring LEP400 Recessed Window Plasma

More information

Scaling up of the Iris AO segmented DM technology for atmospheric correction

Scaling up of the Iris AO segmented DM technology for atmospheric correction Scaling up of the Iris AO segmented DM technology for atmospheric correction Michael A. Helmbrecht, Ph.D., Min He, Carl Kempf, Ph.D., Patrick Rhodes Iris AO, Inc., 2680 Bancroft Way, Berkeley, CA 94704

More information

Display Systems. Viewing Images Rochester Institute of Technology

Display Systems. Viewing Images Rochester Institute of Technology Display Systems Viewing Images 1999 Rochester Institute of Technology In This Section... We will explore how display systems work. Cathode Ray Tube Television Computer Monitor Flat Panel Display Liquid

More information

HB LEDs & OLEDs. Complete thin film process solutions

HB LEDs & OLEDs. Complete thin film process solutions HB LEDs & OLEDs Complete thin film process solutions Get off to a flying start for all your LED thin film deposition and etch processes From 2 inch to 8 inch Manual or fully automated substrate handling

More information

STMicroelectronics NAND128W3A2BN6E 128 Mbit NAND Flash Memory Structural Analysis

STMicroelectronics NAND128W3A2BN6E 128 Mbit NAND Flash Memory Structural Analysis July 6, 2006 STMicroelectronics NAND128W3A2BN6E Structural Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor technology,

More information

9 rue Alfred Kastler - BP Nantes Cedex 3 - France Phone : +33 (0) website :

9 rue Alfred Kastler - BP Nantes Cedex 3 - France Phone : +33 (0) website : 9 rue Alfred Kastler - BP 10748-44307 Nantes Cedex 3 - France Phone : +33 (0) 240 180 916 - email : info@systemplus.fr - website : www.systemplus.fr January 2012 Written by: Maher SAHMIMI DISCLAIMER :

More information

High ResolutionCross Strip Anodes for Photon Counting detectors

High ResolutionCross Strip Anodes for Photon Counting detectors High ResolutionCross Strip Anodes for Photon Counting detectors Oswald H.W. Siegmund, Anton S. Tremsin, Robert Abiad, J. Hull and John V. Vallerga Space Sciences Laboratory University of California Berkeley,

More information

Single-Step CMOS Compatible Fabrication of High Aspect Ratio Microchannels Embedded in Silicon

Single-Step CMOS Compatible Fabrication of High Aspect Ratio Microchannels Embedded in Silicon Delft University of Technology Single-Step CMOS Compatible Fabrication of High Aspect Ratio Microchannels Embedded in Silicon Kluba, Marta; Arslan, Aslihan; Stoute, Ronald; Muganda, James; Dekker, Ronald

More information

Automatic Defect Recognition in Industrial Applications

Automatic Defect Recognition in Industrial Applications Automatic Defect Recognition in Industrial Applications Klaus Bavendiek, Frank Herold, Uwe Heike YXLON International, Hamburg, Germany INDE 2007 YXLON. The reason why 1 Different Fields for Usage of ADR

More information

Fabrication of Lithium Niobate nanopillars using Focused Ion Beam (FIB)

Fabrication of Lithium Niobate nanopillars using Focused Ion Beam (FIB) Fabrication of Lithium Niobate nanopillars using Focused Ion Beam (FIB) Final report for Nanofabrication with Focused Ion and Electron beams course (SK3750) Amin Baghban June 2015 1- Introduction Thanks

More information

CAEN Tools for Discovery

CAEN Tools for Discovery Viareggio March 28, 2011 Introduction: what is the SiPM? The Silicon PhotoMultiplier (SiPM) consists of a high density (up to ~10 3 /mm 2 ) matrix of diodes connected in parallel on a common Si substrate.

More information

SoC IC Basics. COE838: Systems on Chip Design

SoC IC Basics. COE838: Systems on Chip Design SoC IC Basics COE838: Systems on Chip Design http://www.ee.ryerson.ca/~courses/coe838/ Dr. Gul N. Khan http://www.ee.ryerson.ca/~gnkhan Electrical and Computer Engineering Ryerson University Overview SoC

More information

Discrete Mode Laser Diodes emitting at l~689 and 780nm for Optical Atomic clock applications.

Discrete Mode Laser Diodes emitting at l~689 and 780nm for Optical Atomic clock applications. Discrete Mode Laser Diodes emitting at l~689 and 780nm for Optical Atomic clock applications. Richard Phelan*, M. Gleeson, J. O'Carroll, D. Byrne, L. Maigyte, R. Lennox, K. Carney. J. Somers and B.Kelly

More information

1. Publishable summary

1. Publishable summary 1. Publishable summary 1.1. Project objectives. The target of the project is to develop a highly reliable high brightness conformable low cost scalable display for demanding applications such as their

More information

Because Innovation Matters

Because Innovation Matters Because Innovation Matters Silicon Systems Group Toru Watanabe President, Applied Materials, Japan Semicon Japan November 30, 2010 Safe Harbor This presentation contains forward-looking statements, including

More information

PROGRESS OF UV-NIL TEMPLATE MAKING

PROGRESS OF UV-NIL TEMPLATE MAKING PROGRESS OF UV-NIL TEMPLATE MAKING Takaaki Hiraka, Jun Mizuochi, Yuko Nakanishi, Satoshi Yusa, Shiho Sasaki, Yasutaka Morikawa, Hiroshi Mohri, and Naoya Hayashi Electronic Device Laboratory, Dai Nippon

More information

Principles of Electrostatic Chucks 6 Rf Chuck Edge Design

Principles of Electrostatic Chucks 6 Rf Chuck Edge Design Principles of Electrostatic Chucks 6 Rf Chuck Edge Design Overview This document addresses the following chuck edge design issues: Device yield through system uniformity and particle reduction; System

More information

Wafer defects can t hide from

Wafer defects can t hide from WAFER DEFECTS Article published in Issue 3 2016 Wafer defects can t hide from Park Systems Atomic Force Microscopy (AFM) leader Park Systems has simplified 300mm silicon wafer defect review by automating

More information

Large-Scale Polysilicon Surface Micro-Machined Spatial Light Modulator

Large-Scale Polysilicon Surface Micro-Machined Spatial Light Modulator Large-Scale Polysilicon Surface Micro-Machined Spatial Light Modulator Clara Dimas, Julie Perreault, Steven Cornelissen, Harold Dyson, Peter Krulevitch, Paul Bierden, Thomas Bifano, Boston Micromachines

More information

8 DIGITAL SIGNAL PROCESSOR IN OPTICAL TOMOGRAPHY SYSTEM

8 DIGITAL SIGNAL PROCESSOR IN OPTICAL TOMOGRAPHY SYSTEM Recent Development in Instrumentation System 99 8 DIGITAL SIGNAL PROCESSOR IN OPTICAL TOMOGRAPHY SYSTEM Siti Zarina Mohd Muji Ruzairi Abdul Rahim Chiam Kok Thiam 8.1 INTRODUCTION Optical tomography involves

More information

Results on 0.7% X0 thick Pixel Modules for the ATLAS Detector.

Results on 0.7% X0 thick Pixel Modules for the ATLAS Detector. Results on 0.7% X0 thick Pixel Modules for the ATLAS Detector. INFN Genova: R.Beccherle, G.Darbo, G.Gagliardi, C.Gemme, P.Netchaeva, P.Oppizzi, L.Rossi, E.Ruscino, F.Vernocchi Lawrence Berkeley National

More information

Illumination Challenges in Non- Industrial Vision Applications. Simon Stanley Managing Director ProPhotonix IRL Ltd

Illumination Challenges in Non- Industrial Vision Applications. Simon Stanley Managing Director ProPhotonix IRL Ltd Illumination Challenges in Non- Industrial Vision Applications Simon Stanley Managing Director ProPhotonix IRL Ltd ProPhotonix designs and manufactures high-quality LED systems and laser modules for the

More information

The Silicon Pixel Detector (SPD) for the ALICE Experiment

The Silicon Pixel Detector (SPD) for the ALICE Experiment The Silicon Pixel Detector (SPD) for the ALICE Experiment V. Manzari/INFN Bari, Italy for the SPD Project in the ALICE Experiment INFN and Università Bari, Comenius University Bratislava, INFN and Università

More information

Approaching Zero Etch Bias at Cr Etch Process

Approaching Zero Etch Bias at Cr Etch Process Approaching Zero Etch Bias at Cr Etch Process Pavel Nesladek a ; Norbert Falk b ; Andreas Wiswesser a ; Renee Koch b ; Björn Sass a a Advanced Mask Technology Center, Rähnitzer Allee 9; 01109 Dresden,

More information

IC TECHNOLOGY Lecture 2.

IC TECHNOLOGY Lecture 2. IC TECHNOLOGY Lecture 2. IC Integrated Circuit Technology Integrated Circuit: An integrated circuit (IC, a chip, or a microchip) is a set of electronic circuits on one small flat piece (or "chip") of semiconductor

More information

Nano-Imprint Lithography Infrastructure: Imprint Templates

Nano-Imprint Lithography Infrastructure: Imprint Templates Nano-Imprint Lithography Infrastructure: Imprint Templates John Maltabes Photronics, Inc Austin, TX 1 Questions to keep in mind Imprint template manufacturability Resolution Can you get sub30nm images?

More information

COMPARISON OF EUV SINGLE EXPOSURE VS. 193i MULTIPLE PATTERING FOR N10 BEOL CHRISTOPHER J. WILSON

COMPARISON OF EUV SINGLE EXPOSURE VS. 193i MULTIPLE PATTERING FOR N10 BEOL CHRISTOPHER J. WILSON COMPARISON OF EUV SINGLE EXPOSURE VS. 193i MULTIPLE PATTERING FOR N10 BEOL CHRISTOPHER J. WILSON - CONTRIBUTORS FORM ADVANCED LITHO AND CU-LOW-K IIAP PROGRAMS - ASML VELDHOVEN DEMO LAB FOR EXPOSURES EUV

More information

Freescale SPC5604BF1CLL6 Embedded NOR Flash with M27V Die Markings 32 Bit Power Architecture Automotive Microcontroller 90 nm Logic Process

Freescale SPC5604BF1CLL6 Embedded NOR Flash with M27V Die Markings 32 Bit Power Architecture Automotive Microcontroller 90 nm Logic Process Freescale SPC5604BF1CLL6 Embedded NOR Flash with M27V Die Markings 32 Bit Power Architecture Automotive Microcontroller 90 nm Logic Process Process Review 3685 Richmond Road, Suite 500, Ottawa, ON K2H

More information

TPC R&D at Cornell and Purdue

TPC R&D at Cornell and Purdue TPC R&D at Cornell and Purdue Cornell University Purdue University T. Anous K. Arndt R. S. Galik G. Bolla D. P. Peterson I. P. J. Shipsey J. Ledoux Further information available at the web sites: http://www.lepp.cornell.edu/~dpp/linear_collider/large_prototype.html

More information

nmos transistor Basics of VLSI Design and Test Solution: CMOS pmos transistor CMOS Inverter First-Order DC Analysis CMOS Inverter: Transient Response

nmos transistor Basics of VLSI Design and Test Solution: CMOS pmos transistor CMOS Inverter First-Order DC Analysis CMOS Inverter: Transient Response nmos transistor asics of VLSI Design and Test If the gate is high, the switch is on If the gate is low, the switch is off Mohammad Tehranipoor Drain ECE495/695: Introduction to Hardware Security & Trust

More information

RF considerations for SwissFEL

RF considerations for SwissFEL RF considerations for H. Fitze in behalf of the PSI RF group Workshop on Compact X-Ray Free Electron Lasers 19.-21. July 2010, Shanghai Agenda Introduction RF-Gun Development C-band development Summary

More information

2016, Amkor Technology, Inc.

2016, Amkor Technology, Inc. 1 Standardization of Packaging for the Internet of Things Adrian Arcedera l VP of MEMS and Sensor Products 2 About Amkor Technology Amkor Technology, Inc. is one of the world's largest and most accomplished

More information

Etching Part 2. Saroj Kumar Patra. TFE4180 Semiconductor Manufacturing Technology. Norwegian University of Science and Technology ( NTNU )

Etching Part 2. Saroj Kumar Patra. TFE4180 Semiconductor Manufacturing Technology. Norwegian University of Science and Technology ( NTNU ) 1 Etching Part 2 Chapter : 16 Semiconductor Manufacturing Technology by M. Quirk & J. Serda Spring Semester 2014 Saroj Kumar Patra, Norwegian University of Science and Technology ( NTNU ) 2 Introduction

More information

SINGULATION BY PLASMA ETCHING. INTEGRATION TECHNIQUES TO ENABLE LOW DAMAGE, HIGH PRODUCTIVITY DICING.

SINGULATION BY PLASMA ETCHING. INTEGRATION TECHNIQUES TO ENABLE LOW DAMAGE, HIGH PRODUCTIVITY DICING. SINGULATION BY PLASMA ETCHING. INTEGRATION TECHNIQUES TO ENABLE LOW DAMAGE, HIGH PRODUCTIVITY DICING. Richard Barnett Dave Thomas Oliver Ansell ABSTRACT Plasma dicing has rapidly gained traction as a viable

More information

RGA13, 12/10/17 Ultra High Resolution 20mm Quadrupole with Dual Zone operation

RGA13, 12/10/17 Ultra High Resolution 20mm Quadrupole with Dual Zone operation RGA13, 12/10/17 Ultra High Resolution 20mm Quadrupole with Dual Zone operation The DLS-20 Hiden s 20mm Triple Filter Quadrupole By comparison, 6mm Triple Filter Quadrupole Quadrupole High resolution Quadrupoles

More information

SPATIAL LIGHT MODULATORS

SPATIAL LIGHT MODULATORS SPATIAL LIGHT MODULATORS Reflective XY Series Phase and Amplitude 512x512 A spatial light modulator (SLM) is an electrically programmable device that modulates light according to a fixed spatial (pixel)

More information

24. Scaling, Economics, SOI Technology

24. Scaling, Economics, SOI Technology 24. Scaling, Economics, SOI Technology Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 December 4, 2017 ECE Department, University

More information

Digital Integrated Circuits EECS 312

Digital Integrated Circuits EECS 312 14 12 10 8 6 Fujitsu VP2000 IBM 3090S Pulsar 4 IBM 3090 IBM RY6 CDC Cyber 205 IBM 4381 IBM RY4 2 IBM 3081 Apache Fujitsu M380 IBM 370 Merced IBM 360 IBM 3033 Vacuum Pentium II(DSIP) 0 1950 1960 1970 1980

More information

Defense Technical Information Center Compilation Part Notice

Defense Technical Information Center Compilation Part Notice UNCLASSIFIED Defense Technical Information Center Compilation Part Notice ADPO1 1322 TITLE: Amorphous- Silicon Thin-Film Transistor With Two-Step Exposure Process DISTRIBUTION: Approved for public release,

More information

Application Note AN SupIRBuck MCM Power Quad Flat No-lead (PQFN) Inspection Application Note

Application Note AN SupIRBuck MCM Power Quad Flat No-lead (PQFN) Inspection Application Note Application Note AN-1133 SupIRBuck MCM Power Quad Flat No-lead (PQFN) Inspection Application Note Table of Contents Page Inspection techniques... 3 Examples of good assembly... 3 Summary of rejection criteria...

More information

Digital Integrated Circuits EECS 312. Review. Remember the ENIAC? IC ENIAC. Trend for one company. First microprocessor

Digital Integrated Circuits EECS 312. Review. Remember the ENIAC? IC ENIAC. Trend for one company. First microprocessor 14 12 10 8 6 IBM ES9000 Bipolar Fujitsu VP2000 IBM 3090S Pulsar 4 IBM 3090 IBM RY6 CDC Cyber 205 IBM 4381 IBM RY4 2 IBM 3081 Apache Fujitsu M380 IBM 370 Merced IBM 360 IBM 3033 Vacuum Pentium II(DSIP)

More information

Industrial Inline Control for Advanced Vacuum Roll to Roll Systems. Gerhard Steiniger Web inspection - surface Quallity control 7.

Industrial Inline Control for Advanced Vacuum Roll to Roll Systems. Gerhard Steiniger Web inspection - surface Quallity control 7. Industrial Inline Control for Advanced Vacuum Roll to Roll Systems Gerhard Steiniger Web inspection - surface Quallity control 7.4-7684 1 Industrial Inline Control for Advanced Vacuum Roll to Roll Systems

More information

projectors, head mounted displays in virtual or augmented reality use, electronic viewfinders

projectors, head mounted displays in virtual or augmented reality use, electronic viewfinders Beatrice Beyer Figure 1. (OLED) microdisplay with a screen diagonal of 16 mm. Figure 2. CMOS cross section with OLED on top. Usually as small as fingernails, but of very high resolution Optical system

More information

vacuum analysis surface science plasma diagnostics gas analysis

vacuum analysis surface science plasma diagnostics gas analysis Hiden ESPION series electrostatic plasma probes Advanced Langmuir probes for plasma diagnostics vacuum analysis surface science plasma diagnostics gas analysis versatility ESPION from Hiden Analytical

More information

Digital Integrated Circuits EECS 312

Digital Integrated Circuits EECS 312 14 12 10 8 6 Fujitsu VP2000 IBM 3090S Pulsar 4 IBM 3090 IBM RY6 CDC Cyber 205 IBM 4381 IBM RY4 2 IBM 3081 Apache Fujitsu M380 IBM 370 Merced IBM 360 IBM 3033 Vacuum Pentium II(DSIP) 0 1950 1960 1970 1980

More information

THE DIGITAL FLAT-PANEL X-RAY DETECTORS

THE DIGITAL FLAT-PANEL X-RAY DETECTORS UDC: 621.386:621.383.45]:004.932.4 THE DIGITAL FLAT-PANEL X-RAY DETECTORS Goran S. Ristić Applied Physics Laboratory, Faculty of Electronic Engineering, University of Nis, Serbia, goran.ristic@elfak.ni.ac.rs

More information

9 rue Alfred Kastler - BP Nantes Cedex 3 - France Phone : +33 (0) website :

9 rue Alfred Kastler - BP Nantes Cedex 3 - France Phone : +33 (0) website : 9 rue Alfred Kastler - BP 10748-44307 Nantes Cedex 3 - France Phone : +33 (0) 240 180 916 - email : info@systemplus.fr - website : www.systemplus.fr January 2011 - Version 1 Written by: Sylvain HALLEREAU

More information

Readiness and Challenges of EUV Mask

Readiness and Challenges of EUV Mask Panel Discussion: EUVL HVM Insertion and Scaling Readiness and Challenges of EUV Mask Takashi Kamo Toshiba Corporation Semiconductor & Storage Products Company Contents [1] Introduction [2] EUV Mask Defect

More information

Gurley Models LA18, LA20, LA25, High Resolution - Industrial Ruggedness

Gurley Models LA18, LA20, LA25, High Resolution - Industrial Ruggedness Gurley Models LA18, LA20, LA25, and LA35 Absolute Linear Encoders Motion Type: Linear Usage Grade: Industrial Output: absolute Max Resolution: 0.5 to 8µm High Resolution - Industrial Ruggedness ingenuity@work

More information

OLED Lighting in Automotive Applications State of the Art and Future Demands. OLEDs World Summit 2017, San Francisco, Dr. Werner Thomas, AUDI AG

OLED Lighting in Automotive Applications State of the Art and Future Demands. OLEDs World Summit 2017, San Francisco, Dr. Werner Thomas, AUDI AG OLED Lighting in Automotive Applications State of the Art and Future Demands OLEDs World Summit 2017, San Francisco, Dr. Werner Thomas, AUDI AG 2 Agenda 1. Overview 1 st automotive series applications

More information

MAAP DIEEV1. Ka-Band 4 W Power Amplifier GHz Rev. V1. Features. Functional Diagram. Description. Pin Configuration 2

MAAP DIEEV1. Ka-Band 4 W Power Amplifier GHz Rev. V1. Features. Functional Diagram. Description. Pin Configuration 2 Features Frequency Range: 32 to Small Signal Gain: 18 db Saturated Power: 37 dbm Power Added Efficiency: 23% % On-Wafer RF and DC Testing % Visual Inspection to MIL-STD-883 Method Bias V D = 6 V, I D =

More information

Advanced Sensor Technologies

Advanced Sensor Technologies Advanced Sensor Technologies Jörg Amelung Fraunhofer Institute for Photonics Microsystems Name of presenter date Sensors as core element for IoT Next phase of market grow New/Advanced Requirements based

More information

EE C247B ME C218 Introduction to MEMS Design Spring 2017

EE C247B ME C218 Introduction to MEMS Design Spring 2017 EE C247B ME C218 Introduction to MEMS Design Spring 2017 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture Module

More information

SEMICONDUCTOR TECHNOLOGY -CMOS-

SEMICONDUCTOR TECHNOLOGY -CMOS- SEMICONDUCTOR TECHNOLOGY -CMOS- Fire Tom Wada 2011/12/19 1 What is semiconductor and LSIs Huge number of transistors can be integrated in a small Si chip. The size of the chip is roughly the size of nails.

More information

A NOVEL METHOD FOR TESTING LCD BY INTEGRATING SHORTING BAR AND TAGUCHI DOE TECHNOLOGIES

A NOVEL METHOD FOR TESTING LCD BY INTEGRATING SHORTING BAR AND TAGUCHI DOE TECHNOLOGIES This article has been peer reviewed and accepted for publication in JMST but has not yet been copyediting, typesetting, pagination and proofreading process. Please note that the publication version of

More information

Defect Reduction for Semiconductor Memory Applications Using Jet And Flash Imprint Lithography

Defect Reduction for Semiconductor Memory Applications Using Jet And Flash Imprint Lithography Defect Reduction for Semiconductor Memory Applications Using Jet And Flash Imprint Lithography Zhengmao Ye, Kang Luo, Xiaoming Lu, Brian Fletcher, Weijun Liu, Frank Xu, Dwayne LaBrake, Douglas Resnick,

More information

Technology Overview LTCC

Technology Overview LTCC Sheet Code RFi0604 Technology Overview LTCC Low Temperature Co-fired Ceramic (LTCC) is a multilayer ceramic substrate technology that allows the realisation of multiple embedded passive components (Rs,

More information

INTRODUCTION TO MICROELECTROMECHANICAL SYSTEMS (MEMS) 520/

INTRODUCTION TO MICROELECTROMECHANICAL SYSTEMS (MEMS) 520/ INTRODUCTION TO MICROELECTROMECHANICAL SYSTEMS (MEMS) 520/530.487 Instructors: Andreou Hemker Sharpe Today: What are MEMS - TI digital mirror example The MEMS industry - history and size The state of MEMS

More information

Defect Analysis of Roll-to-Roll SAIL Manufactured Flexible Display Backplanes

Defect Analysis of Roll-to-Roll SAIL Manufactured Flexible Display Backplanes Defect Analysis of Roll-to-Roll SAIL Manufactured Flexible Display Backplanes Carl Taussig, Richard E. Elder, Warren B. Jackson, Albert Jeans, Mehrban Jam, Ed Holland, Hao Luo, John Maltabes, Craig Perlov,

More information

Backside Circuit Edit on Full-Thickness Silicon Devices

Backside Circuit Edit on Full-Thickness Silicon Devices Backside Circuit Edit on Full-Thickness Silicon Devices Presentation Title Line 1 Title Line Two Can I really skip the global thinning step?! Date Presenter Name Chad Rue FEI Company, Hillsboro, OR, USA

More information

SCT Activities. Nick Bedford, Mateusz Dyndal, Alexander Madsen, Edoardo Rossi, Christian Sander. DESY ATLAS Weekly Meeting 03. Jun.

SCT Activities. Nick Bedford, Mateusz Dyndal, Alexander Madsen, Edoardo Rossi, Christian Sander. DESY ATLAS Weekly Meeting 03. Jun. SCT Activities Nick Bedford, Mateusz Dyndal, Alexander Madsen, Edoardo Rossi, Christian Sander DESY ATLAS Weekly Meeting 03. Jun. 2016 1 Semi-Conductor Tracker Barrel 4 Layers 2112 identical modules Endcaps

More information

Future trends for SiP In Medical Implant Applications

Future trends for SiP In Medical Implant Applications Future trends for SiP In Medical Implant Applications Piers Tremlett, Zarlink Semiconductor NMI at TWI, 12 Dec 07 A case study This presentation uses Zarlink s Medical RF device To consider potential embedded

More information

SEMICONDUCTOR TECHNOLOGY -CMOS-

SEMICONDUCTOR TECHNOLOGY -CMOS- SEMICONDUCTOR TECHNOLOGY -CMOS- Fire Tom Wada What is semiconductor and LSIs Huge number of transistors can be integrated in a small Si chip. The size of the chip is roughly the size of nails. Currently,

More information

Spatial Light Modulators XY Series

Spatial Light Modulators XY Series Spatial Light Modulators XY Series Phase and Amplitude 512x512 and 256x256 A spatial light modulator (SLM) is an electrically programmable device that modulates light according to a fixed spatial (pixel)

More information

Double Patterning OPC and Design for 22nm to 16nm Device Nodes

Double Patterning OPC and Design for 22nm to 16nm Device Nodes Double Patterning OPC and Design for 22nm to 16nm Device Nodes Kevin Lucas, Chris Cork, Alex Miloslavsky, Gerry Luk-Pat, Xiaohai Li, Levi Barnes, Weimin Gao Synopsys Inc. Vincent Wiaux IMEC 1 Outline Introduction

More information

MPI Cable Selection Guide

MPI Cable Selection Guide MPI Cable Selection Guide MPI engineers focus to provide on optimal cable solutions taking into account a number of requirements specific for wafer-level measurement systems: optimal cable length, cable

More information

21 rue La Noue Bras de Fer Nantes - France Phone : +33 (0) website :

21 rue La Noue Bras de Fer Nantes - France Phone : +33 (0) website : 21 rue La Noue Bras de Fer - 44200 Nantes - France Phone : +33 (0) 240 180 916 - email : info@systemplus.fr - website : www.systemplus.fr 2012 September - Version 1 Written by: Maher Sahmimi DISCLAIMER

More information

High Performance Microprocessor Design and Automation: Overview, Challenges and Opportunities IBM Corporation

High Performance Microprocessor Design and Automation: Overview, Challenges and Opportunities IBM Corporation High Performance Microprocessor Design and Automation: Overview, Challenges and Opportunities Introduction About Myself What to expect out of this lecture Understand the current trend in the IC Design

More information

Quick Report on Silicon G-APDs (a.k.a. Si-PM) studies. XIV SuperB General Meeting LNF - Frascati

Quick Report on Silicon G-APDs (a.k.a. Si-PM) studies. XIV SuperB General Meeting LNF - Frascati Quick Report on Silicon G-APDs (a.k.a. Si-PM) studies XIV SuperB General Meeting LNF - Frascati Report of the work done in Padova Dal Corso F., E.F., Simi G., Stroili R. University & INFN Padova Outline

More information

FLEX2017 June, Monterey, USA Dr Mike Cowin, CMO, SmartKem.

FLEX2017 June, Monterey, USA Dr Mike Cowin, CMO, SmartKem. FLEX2017 June, Monterey, USA Dr Mike Cowin, CMO, SmartKem. FLEX2017 June, Monterey, USA Dr Mike Cowin, CMO, SmartKem. EU H2020 FLEXTRANs Grant Objectives A 24 month project (started September 2016) (Grant

More information

Advanced WLP Platform for High-Performance MEMS. Presented by Dean Spicer, Director of Engineering

Advanced WLP Platform for High-Performance MEMS. Presented by Dean Spicer, Director of Engineering Advanced WLP Platform for High-Performance MEMS Presented by Dean Spicer, Director of Engineering 1 May 11 th, 2016 1 Outline 1. Application Drivers for High Performance MEMS Sensors 2. Approaches to Achieving

More information