Interleaving Two AT84CS001

Size: px
Start display at page:

Download "Interleaving Two AT84CS001"

Transcription

1 Application Note 1. Introduction e2v 10-bit is able to process data rates of up to 2.2 Gsps in 1:4 ratio, generating an output data rate of up to 550 Msps (both double or single data rate). In some applications, this rate might still be too fast to be processed. It is then necessary to slow down the data rate once more to relax the timing constraints on the processing circuit (ASIC, FPGA, memory). The solution is to interleave two, thus, achieving a result of 1:8 ratio and leading to a maximum output rate of 275 Msps. This application note details how it is possible to interleave two devices. It provides timing and electrical guidelines as well as a practical example with e2v 10-bit 2.2 Gsps ADC AT84AS Principle of Operation It is possible to achieve a 1:8 ratio with two interleaved es. The principle of operation is as follows: each even 10-bit data coming out of the ADC is processed by one while all odd 10-bit data are processed by the second. Both es are set in 1:4 ratio, resulting in a data rate which is eight times slower than the initial sampling rate. When the is fed with double data rate (or CLK/2 mode), where both edges of the input clock correspond to a data, which is the case when the is interfaced to all e2v High Speed ADCs (TS8388B, TS83102G0B, AT84AS008), the configuration is very straightforward as no conversion of the ADC output clock signal is necessary. In the case of a single data rate (CLK mode) at the input, additional circuitry is required for the management of the ADC output clock. In the following sections, both options are explored and an example with e2v AT84AS bit 2.2 Gsps ADC is provided. Visit our website: for the latest version of the datasheet

2 3. ADC in Double Rate 3.1 Block Diagram The following simplified block diagram illustrates how to achieve a 1:8 demultiplexing ratio using two LVDS interfaced with a 10-bit ADC (for example e2v AT84AS bit 2.2 Gsps ADC). The ADC operates in double data rate (DR/2 mode) but each operates in single input data rate. The output clock mode of the es can be either single or double data rate (DR or DR/2 modes). Figure 3-1. Interleaving Two to Achieve 1:8 Ratio in CLK/2 Mode Analog Input 10- bit ADC Bit9 Bit0 () 10 x 1 to 2 LVDS fanout Buffer Bit9 Bit CLK CLKN 10-bit 1:4 (DR input clock mode) Even Clock Input DR/2 Output clock 2 1 to 2 buffer Fanout LVDS Buffer DRO DRON DR1 DR1N Bit9 Bit0 CLK CLKN 10 -bit 1:4 (DR input clock mode) Odd Asynchronous Reset (Active High) LVTTL compatible 1 to 2 Fanout LVTTL Buffer Note: The features a selectable input clock mode which prevents you from implementing an additional clock circuitry between the ADC and the. When the data output from the ADC is in CLK/2 mode, each will only see half of the bits if they are set in the DR input clock mode. This is illustrated in Section 4. Timing Diagrams on page 3. 2

3 4. Timing Diagrams Figure 4-1. Interleaving Two to Achieve 1:8 Ratio in DR/2 Mode - Principle of Operation ADC Input ADC Output DATA N N + 1 N + 2 N + 3 N + 4 N + 5 N + 6 N + 7 N + 8 N + 9 N + 10 ADC Output Input Input N - 6 N - 4 N - 2 N Output (DR/2 mode) N - 7 N - 5 N - 3 Output (DR/2 mode) N - 1 One ADC input clock period = ½ input clock period 3

4 For the synchronization of the es at start-up, it is necessary to apply an asynchronous reset on the two devices simultaneously. Depending on the phase relation between the input clock and the asynchronous reset signal, will start first or will start first: If the asynchronous reset falling edge occurs when the input clock is low, then, will start first (the first data will be on port A of ) If the asynchronous reset falling edge occurs when the input clock is low, then, will start first (the first data will be on port A of ) After reset, the first correct data and output clock at the output of the es are available after five input clock cycles. Figure 4-2. Synchronization of the Interleaved es ( First) Input Input Asynchronous Reset Period of uncertainty on the output data and clock (five input clock cycles) N N + 2 N + 4 N + 6 N + 1 N + 3 N + 5 N + 7 4

5 Figure 4-3. Synchronization of the Interleaved es ( First) Input Input Asynchronous Reset Period of uncertainty on the output data and clock (five clock input cycles) N + 1 N + 3 N + 5 N + 7 N N + 2 N + 4 N + 6 5

6 5. ADC in Single Rate 5.1 Block Diagram The following simplified block diagram illustrates how to achieve a 1:8 demultiplexing ratio using two LVDS interfaced with a 10-bit ADC operating in single data rate (DR mode). In this case, it is necessary to divide the ADC output clock so that each will only see half of the data (even data on one and odd data on the other one). Figure 5-1. Interleaving Two to Achieve 1:8 Ratio in DR Mode Bit9 Bit0 Analog Input 10-bit ADC Bit9 Bit0 () 10 x 1 to 2 LVDS Fanout Buffer CLK CLKN 10-bit 1:4 Even Clock Input DR Output clock 2 Divided by two 2 1 to 2 Fanout LVDS buffer DR0 DR0N DR1 DR1N Bit9 Bit0 CLK CLKN 10-bit 1:4 Odd Asynchronous Reset (Active High) LVTTL compatible 1 to 2 Fanout LVTTL Buffer 6

7 6. Timing Diagrams Figure 6-1. Interleaving Two to Achieve 1:8 Ratio in DR Mode ADC Input ADC Output DATA N N +1 N + 2 N + 3 N + 4 N + 5 N + 6 N + 7 N + 8 N + 9 N + 10 ADC Output Div/2 Output Input Input N - 6 N - 4 N - 2 N Output (DR/2 mode) N - 7 N - 5 N - 3 Output N - 1 One ADC input clock period = ½ input clock period 7

8 7. Practical Example: Use with AT84AS008 ADC When a 1:8 ratio is required to demultiplex the output data coming from the AT84AS bit 2.2 Gsps ADC, two es can be used. The interface between the three devices is depicted in Figure

9 Figure 7-1. Interleaving Two es to Achieve 1:8 Ratio at the AT84AS008 ADC Output SY89832U OR ORb / Q2 /Q2 Analog Input Clock Input AT84AS008 OR, ORb D0, D0b D1, D1b DR, DRb D4, D4b... D9, D9b D0 D0b D1 D1b D2 D2b D3 D3b 24 DR DRb SY89832U / Q2 /Q2 SY89832U / Q2 /Q bit 1:4 (DR input clock mode) Even Asynchronous Reset (Active High) LVDS/LVPECL MC100EPT26 D /D D4 D4b D5 D5b D6 D6b D7 D7b D8 D8b D9 D9b Q0 SY89832U / Q2 /Q bit 1:4 (DR input Odd 2.5V SY89832U From AT84AS008 E IN /IN Vref Q0 /Q0 / Q2 /Q3 Q3 VT GND VCC 100Ω To 100Ω LVDS 100 D MC100EPT26 /D VBB GND Q0 VCC 3.3V To Asynchronous Reset (, ) 2.5 V 9

10 How to reach us Home page: Sales Office: Americas Northern Europe e2v ltd 106 Waterhouse Lane Chelmsford Essex CM1 2QU England Tel: +44 (0) Fax:: +44 (0) e2v inc. 4 Westchester Plaza Elmsford NY USA Tel: +1 (914) or , Fax:: +1 (914) enquiries-na@e2v.com Southern Europe e2v sas 16 Burospace F Bièvres Cedex France Tel: +33 (0) Fax: +33 (0) enquiries-fr@e2v.com Asia Pacific e2v Bank of China Tower 30th floor office 7 1 Garden Rd Central Hong Kong Tel: /8/9 Fax: enquiries-hk@e2v.com Germany and Austria e2v gmbh Industriestraße Gröbenzell Germany Tel: +49 (0) Fax:: +49 (0) enquiries-de@e2v.com Product Contact: e2v Avenue de Rochepleine BP Saint-Egrève Cedex France Tel: +33 (0) Hotline: hotline-bdc@e2v.com

Dithering in Analog-to-digital Conversion

Dithering in Analog-to-digital Conversion Application Note 1. Introduction 2. What is Dither High-speed ADCs today offer higher dynamic performances and every effort is made to push these state-of-the art performances through design improvements

More information

Quad ADC EV10AQ190A Synchronization of Multiple ADCs

Quad ADC EV10AQ190A Synchronization of Multiple ADCs Synchronization of Multiple ADCs Application Note Applies to EV10AQ190A 1. Introduction This application note provides some recommendations for the correct synchronization of multiple EV10AQ190A Quad 10-bit

More information

Synchronizing Multiple ADC08xxxx Giga-Sample ADCs

Synchronizing Multiple ADC08xxxx Giga-Sample ADCs Application Bulletin July 19, 2010 Synchronizing Multiple 0xxxx Giga-Sample s 1.0 Introduction The 0xxxx giga-sample family of analog-to-digital converters (s) make the highest performance data acquisition

More information

EMPTY and FULL Flag Behaviors of the Axcelerator FIFO Controller

EMPTY and FULL Flag Behaviors of the Axcelerator FIFO Controller Application Note AC228 and FULL Flag Behaviors of the Axcelerator FIFO Controller Introduction The purpose of this application note is to specifically illustrate the following two behaviors of the FULL

More information

GHz Sampling Design Challenge

GHz Sampling Design Challenge GHz Sampling Design Challenge 1 National Semiconductor Ghz Ultra High Speed ADCs Target Applications Test & Measurement Communications Transceivers Ranging Applications (Lidar/Radar) Set-top box direct

More information

Asynchronous (Ripple) Counters

Asynchronous (Ripple) Counters Circuits for counting events are frequently used in computers and other digital systems. Since a counter circuit must remember its past states, it has to possess memory. The chapter about flip-flops introduced

More information

Level and edge-sensitive behaviour

Level and edge-sensitive behaviour Level and edge-sensitive behaviour Asynchronous set/reset is level-sensitive Include set/reset in sensitivity list Put level-sensitive behaviour first: process (clock, reset) is begin if reset = '0' then

More information

Operating Manual Ver.1.1

Operating Manual Ver.1.1 Johnson Counter Operating Manual Ver.1.1 An ISO 9001 : 2000 company 94-101, Electronic Complex Pardesipura, Indore- 452010, India Tel : 91-731- 2570301/02, 4211100 Fax: 91-731- 2555643 e mail : info@scientech.bz

More information

AN-822 APPLICATION NOTE

AN-822 APPLICATION NOTE APPLICATION NOTE One Technology Way P.O. Box 9106 Norwood, MA 02062-9106, U.S.A. Tel: 781.329.4700 Fax: 781.461.3113 www.analog.com Synchronization of Multiple AD9779 Txs by Steve Reine and Gina Colangelo

More information

Application Note. RTC Binary Counter An Introduction AN-CM-253

Application Note. RTC Binary Counter An Introduction AN-CM-253 Application Note RTC Binary Counter An Introduction AN-CM-253 Abstract This application note introduces the behavior of the GreenPAK's Real-Time Counter (RTC) and outlines a couple common design applications

More information

Figure 1 shows a simple implementation of a clock switch, using an AND-OR type multiplexer logic.

Figure 1 shows a simple implementation of a clock switch, using an AND-OR type multiplexer logic. 1. CLOCK MUXING: With more and more multi-frequency clocks being used in today's chips, especially in the communications field, it is often necessary to switch the source of a clock line while the chip

More information

DP8212 DP8212M 8-Bit Input Output Port

DP8212 DP8212M 8-Bit Input Output Port DP8212 DP8212M 8-Bit Input Output Port General Description The DP8212 DP8212M is an 8-bit input output port contained in a standard 24-pin dual-in-line package The device which is fabricated using Schottky

More information

2.6 Reset Design Strategy

2.6 Reset Design Strategy 2.6 Reset esign Strategy Many design issues must be considered before choosing a reset strategy for an ASIC design, such as whether to use synchronous or asynchronous resets, will every flipflop receive

More information

Technical Article MS-2714

Technical Article MS-2714 . MS-2714 Understanding s in the JESD204B Specification A High Speed ADC Perspective by Jonathan Harris, applications engineer, Analog Devices, Inc. INTRODUCTION As high speed ADCs move into the GSPS range,

More information

ADC Peripheral in Microcontrollers. Petr Cesak, Jan Fischer, Jaroslav Roztocil

ADC Peripheral in Microcontrollers. Petr Cesak, Jan Fischer, Jaroslav Roztocil ADC Peripheral in s Petr Cesak, Jan Fischer, Jaroslav Roztocil Czech Technical University in Prague, Faculty of Electrical Engineering Technicka 2, CZ-16627 Prague 6, Czech Republic Phone: +420-224 352

More information

3-Channel 8-Bit D/A Converter

3-Channel 8-Bit D/A Converter FUJITSU SEMICONDUCTOR DATA SHEET DS04-2316-2E ASSP 3-Channel -Bit D/A Converter MB409 DESCRIPTION The MB409 is an -bit resolution ultra high-speed digital-to-analog converter, designed for video processing

More information

About... D 3 Technology TM.

About... D 3 Technology TM. About... D 3 Technology TM www.euresys.com Copyright 2008 Euresys s.a. Belgium. Euresys is a registred trademark of Euresys s.a. Belgium. Other product and company names listed are trademarks or trade

More information

Achieving Timing Closure in ALTERA FPGAs

Achieving Timing Closure in ALTERA FPGAs Achieving Timing Closure in ALTERA FPGAs Course Description This course provides all necessary theoretical and practical know-how to write system timing constraints for variety designs in ALTERA FPGAs.

More information

Clock & Timing IC Solutions

Clock & Timing IC Solutions Clock & Timing IC Solutions www.hittite.com REF PLL CLK1 MANAGEMENT CLK2 CLKN Hittite has developed an industry-leading line of high performance clock distribution and clock generation products that enable

More information

RFI MITIGATING RECEIVER BACK-END FOR RADIOMETERS

RFI MITIGATING RECEIVER BACK-END FOR RADIOMETERS RFI MITIGATING RECEIVER BACK-END FOR RADIOMETERS Phaneendra Bikkina 1, Qingjun Fan 2, Wenlan Wu 1, Jinghong Chen 2 and Esko Mikkola 1 1 Alphacore, Inc., 2 University of Houston 2017 CASPER Workshop Pasadena,

More information

AT18F Series Configurators. Application Note. Stand-alone or In-System Programming Applications for AT18F Series Configurators. 1.

AT18F Series Configurators. Application Note. Stand-alone or In-System Programming Applications for AT18F Series Configurators. 1. Stand-alone or In-System Programming Applications for AT18F Series Configurators 1. Overview The AT18F Series Configurators, which include AT18F010-30XU (1M), AT18F002-30XU (2M), AT18F040-30XU (4M), and

More information

Application Note. Serial Line Coding Converters AN-CM-264

Application Note. Serial Line Coding Converters AN-CM-264 Application Note AN-CM-264 Abstract Because of its efficiency, serial communication is common in many industries. Usually, standard protocols like UART, I2C or SPI are used for serial interfaces. However,

More information

The NOR latch is similar to the NAND latch

The NOR latch is similar to the NAND latch 5-2 NOR Gate Latch The NOR latch is similar to the NAND latch except that the Q and Q outputs are reversed. The set and clear inputs are active high, that is, the output will change when the input is pulsed

More information

AD9884A Evaluation Kit Documentation

AD9884A Evaluation Kit Documentation a (centimeters) AD9884A Evaluation Kit Documentation Includes Documentation for: - AD9884A Evaluation Board - SXGA Panel Driver Board Rev 0 1/4/2000 Evaluation Board Documentation For the AD9884A Purpose

More information

Agilent Understanding the Agilent 34405A DMM Operation Application Note

Agilent Understanding the Agilent 34405A DMM Operation Application Note Agilent Understanding the Agilent 34405A DMM Operation Application Note Introduction Digital multimeter (DMM) is a basic device in the electrical world and its functions are usually not fully utilized.

More information

DSM GHz Linear Chirping Source

DSM GHz Linear Chirping Source DSM202 2.0 GHz GENERAL DESCRIPTION The DSM202 is a linear chirping waveform module that generates two types of chirping waveforms at 32 clocks per frequency update. The DSM202 can be controlled using a

More information

Flip-flop and Registers

Flip-flop and Registers ECE 322 Digital Design with VHDL Flip-flop and Registers Lecture Textbook References n Sequential Logic Review Stephen Brown and Zvonko Vranesic, Fundamentals of Digital Logic with VHDL Design, 2 nd or

More information

Low Cost, High Speed Spectrum Analyzers For RF Manufacturing APPLICATION NOTE

Low Cost, High Speed Spectrum Analyzers For RF Manufacturing APPLICATION NOTE Low Cost, High Speed Spectrum Analyzers For RF Manufacturing APPLICATION NOTE Application Note Table of Contents Spectrum Analyzers in Manufacturing...3 Low Cost USB Spectrum Analyzers for Manufacturing...3

More information

Rensselaer Polytechnic Institute Computer Hardware Design ECSE Report. Lab Three Xilinx Richards Controller and Logic Analyzer Laboratory

Rensselaer Polytechnic Institute Computer Hardware Design ECSE Report. Lab Three Xilinx Richards Controller and Logic Analyzer Laboratory RPI Rensselaer Polytechnic Institute Computer Hardware Design ECSE 4770 Report Lab Three Xilinx Richards Controller and Logic Analyzer Laboratory Name: Walter Dearing Group: Brad Stephenson David Bang

More information

Application Note. Traffic Signal Controller AN-CM-231

Application Note. Traffic Signal Controller AN-CM-231 Application Note AN-CM-231 Abstract This application note describes how to implement a traffic controller that can manage traffic passing through the intersection of a busy main street and a lightly used

More information

Driver circuit for InGaAs linear image sensor

Driver circuit for InGaAs linear image sensor Driver circuit for InGaAs linear image sensor [G11620 series (non-cooled type)] The is a driver circuit developed for InGaAs linear image sensors [G11620 series (non-cooled type)]. The driver circuit consists

More information

Product Obsolete/Under Obsolescence

Product Obsolete/Under Obsolescence APPLICATION NOTE 0 R Designing Flexible, Fast CAMs with Virtex Family FPGAs XAPP203, September 23, 999 (Version.) 0 8* Application Note: Jean-Louis Brelet & Bernie New Summary Content Addressable Memories

More information

Clarke and Inverse ClarkeTransformations Hardware Implementation. User Guide

Clarke and Inverse ClarkeTransformations Hardware Implementation. User Guide Clarke and Inverse ClarkeTransformations Hardware Implementation User Guide Clarke and Inverse Clarke Transformations Hardware Implementation User Guide Table of Contents Clarke and Inverse Clarke Transformations

More information

Cell-based ASIC ATC20. Summary

Cell-based ASIC ATC20. Summary Features Comprehensive Library of Standard Logic and Cells ATC20 Core and Cells Designed to Operate with V DD = 1.8V ± 0.15V as Main Target Operating Conditions IO25 and IO33 Pad Libraries Provide Interfaces

More information

Application Note. A Collection of Application Hints for the CS501X Series of A/D Converters. By Jerome Johnston

Application Note. A Collection of Application Hints for the CS501X Series of A/D Converters. By Jerome Johnston AN08 Application Note A Collection of Application Hints for the CS501X Series of A/D Converters By Jerome Johnston Jam ADC into Coarse Charge for High Slew Signals Single Control Input Acts as a "Start

More information

AN-605 APPLICATION NOTE

AN-605 APPLICATION NOTE a AN-605 APPLICAION NOE One echnology Way P.O. Box 906 Norwood, MA 006-906 el: 7/39-4700 Fax: 7/36-703 www.analog.com Synchronizing Multiple AD95 DDS-Based Synthesizers by David Brandon INRODUCION Many

More information

Counters

Counters Counters A counter is the most versatile and useful subsystems in the digital system. A counter driven by a clock can be used to count the number of clock cycles. Since clock pulses occur at known intervals,

More information

Commsonic. Satellite FEC Decoder CMS0077. Contact information

Commsonic. Satellite FEC Decoder CMS0077. Contact information Satellite FEC Decoder CMS0077 Fully compliant with ETSI EN-302307-1 / -2. The IP core accepts demodulated digital IQ inputs and is designed to interface directly with the CMS0059 DVB-S2 / DVB-S2X Demodulator

More information

Generalpurpose. VHF/UHF Power Amplifier (135 to 600 MHz) T0905. Preliminary

Generalpurpose. VHF/UHF Power Amplifier (135 to 600 MHz) T0905. Preliminary Features 35 dbm Output Power in CW Mode High Power Added Efficiency (PAE) Single Supply Operation (No Negative Rail) Simple Analog Power Ramp Control Low Current Consumption in Power-down Mode (Typically

More information

Combinational vs Sequential

Combinational vs Sequential Combinational vs Sequential inputs X Combinational Circuits outputs Z A combinational circuit: At any time, outputs depends only on inputs Changing inputs changes outputs No regard for previous inputs

More information

ENGN3213 Digital Systems and Microprocessors Sequential Circuits

ENGN3213 Digital Systems and Microprocessors Sequential Circuits ENGN3213 Digital Systems and Microprocessors Sequential Circuits 1 ENGN3213: Digital Systems and Microprocessors L#9-10 Why have sequential circuits? Sequential systems are time sequential devices - many

More information

PLCC/LCC/JLCC CLK/IN GND I/O2 I/O3 I/O4 I/O5 VCC VCC I/O17 I/O16 I/O15 I/O14 I/O13 I/O12

PLCC/LCC/JLCC CLK/IN GND I/O2 I/O3 I/O4 I/O5 VCC VCC I/O17 I/O16 I/O15 I/O14 I/O13 I/O12 Features High-performance, High-density, Electrically-erasable Programmable Logic Device Fully Connected Logic Array with 416 Product Terms 15 ns Maximum Pin-to-pin Delay for 5V Operation 24 Flexible Output

More information

Generation and Measurement of Burst Digital Audio Signals with Audio Analyzer UPD

Generation and Measurement of Burst Digital Audio Signals with Audio Analyzer UPD Generation and Measurement of Burst Digital Audio Signals with Audio Analyzer UPD Application Note GA8_0L Klaus Schiffner, Tilman Betz, 7/97 Subject to change Product: Audio Analyzer UPD . Introduction

More information

Laboratory 4. Figure 1: Serdes Transceiver

Laboratory 4. Figure 1: Serdes Transceiver Laboratory 4 The purpose of this laboratory exercise is to design a digital Serdes In the first part of the lab, you will design all the required subblocks for the digital Serdes and simulate them In part

More information

8b10b Macro. v2.0. This data sheet defines the functionality of Version 1.0 of the 8b10b macro.

8b10b Macro. v2.0. This data sheet defines the functionality of Version 1.0 of the 8b10b macro. v2.0 8b10b Macro Product Summary Gigabit Ethernet 8b10b Function 125 MHz Operation Transmit and Receive Function isparity and Illegal Code Error Checking Connects directly to industry-standard Gigabit

More information

Prototyping an ASIC with FPGAs. By Rafey Mahmud, FAE at Synplicity.

Prototyping an ASIC with FPGAs. By Rafey Mahmud, FAE at Synplicity. Prototyping an ASIC with FPGAs By Rafey Mahmud, FAE at Synplicity. With increased capacity of FPGAs and readily available off-the-shelf prototyping boards sporting multiple FPGAs, it has become feasible

More information

THDB_ADA. High-Speed A/D and D/A Development Kit

THDB_ADA. High-Speed A/D and D/A Development Kit THDB_ADA High-Speed A/D and D/A Development Kit With complete reference design and source code for Fast-Fourier Transform analysis and arbitrary waveform generator. 1 CONTENTS Chapter 1 About the Kit...2

More information

VARIABLE FREQUENCY CLOCKING HARDWARE

VARIABLE FREQUENCY CLOCKING HARDWARE VARIABLE FREQUENCY CLOCKING HARDWARE Variable-Frequency Clocking Hardware Many complex digital systems have components clocked at different frequencies Reason 1: to reduce power dissipation The active

More information

4-BIT PARALLEL-TO-SERIAL CONVERTER

4-BIT PARALLEL-TO-SERIAL CONVERTER 4-BIT PARALLEL-TO-SERIAL CONVERTER FEATURES DESCRIPTION On-chip clock 4 and 8 Extended 00E VEE range of 4.2V to 5.5V.6Gb/s typical data rate capability Differential clock and serial inputs VBB output for

More information

ECEN454 Digital Integrated Circuit Design. Sequential Circuits. Sequencing. Output depends on current inputs

ECEN454 Digital Integrated Circuit Design. Sequential Circuits. Sequencing. Output depends on current inputs ECEN454 igital Integrated Circuit esign Sequential Circuits ECEN 454 Combinational logic Sequencing Output depends on current inputs Sequential logic Output depends on current and previous inputs Requires

More information

DALHOUSIE UNIVERSITY Department of Electrical & Computer Engineering Digital Circuits - ECED 220. Experiment 4 - Latches and Flip-Flops

DALHOUSIE UNIVERSITY Department of Electrical & Computer Engineering Digital Circuits - ECED 220. Experiment 4 - Latches and Flip-Flops DLHOUSIE UNIVERSITY Department of Electrical & Computer Engineering Digital Circuits - ECED 0 Experiment - Latches and Flip-Flops Objectives:. To implement an RS latch memory element. To implement a JK

More information

Universidad Carlos III de Madrid Digital Electronics Exercises

Universidad Carlos III de Madrid Digital Electronics Exercises 1. Complete the chronogram for the circuit given in the figure. inst7 NOT A INPUT VCC AND2 inst5 DFF D PRN Q CLRN inst XOR inst2 TFF PRN T Q CLRN inst8 OUTPUT OUTPUT Q Q1 CLK INPUT VCC CLEARN INPUT VCC

More information

FPGA Implementation of Sequential Logic

FPGA Implementation of Sequential Logic ECE 428 Programmable ASIC Design FPGA Implementation of Sequential Logic Haibo Wang ECE Department Southern Illinois University Carbondale, IL 62901 8-1 Sequential Circuit Model Combinational Circuit:

More information

Model 6010 Four Channel 20-Bit Audio ADC Data Pack

Model 6010 Four Channel 20-Bit Audio ADC Data Pack Model 6010 Four Channel 20-Bit Audio ADC Data Pack Revision 3.1 SW v1.0.0 This data pack provides detailed installation, configuration and operation information for the Model 6010 Four Channel 20-bit Audio

More information

EECS150 - Digital Design Lecture 3 Synchronous Digital Systems Review. Announcements

EECS150 - Digital Design Lecture 3 Synchronous Digital Systems Review. Announcements EECS150 - Digital Design Lecture 3 Synchronous Digital Systems Review September 1, 2011 Elad Alon Electrical Engineering and Computer Sciences University of California, Berkeley http://www-inst.eecs.berkeley.edu/~cs150

More information

CprE 281: Digital Logic

CprE 281: Digital Logic CprE 28: Digital Logic Instructor: Alexander Stoytchev http://www.ece.iastate.edu/~alexs/classes/ Registers and Counters CprE 28: Digital Logic Iowa State University, Ames, IA Copyright Alexander Stoytchev

More information

EECS150 - Digital Design Lecture 19 - Finite State Machines Revisited

EECS150 - Digital Design Lecture 19 - Finite State Machines Revisited EECS150 - Digital Design Lecture 19 - Finite State Machines Revisited April 2, 2013 John Wawrzynek Spring 2013 EECS150 - Lec19-fsm Page 1 Finite State Machines (FSMs) FSM circuits are a type of sequential

More information

MODELING OF ADC ARCHITECTURES IN HDL LANGUAGES

MODELING OF ADC ARCHITECTURES IN HDL LANGUAGES MODELING OF ADC ARCHITECTURES IN HDL LANGUAGES Marco Oliveira, Nuno Franca Modeling Group, Chipidea Microelectronics, Inc. Taguspark, Edifício Inovação IV, sala 733, 2780-920 Porto Salvo, Portugal Phone

More information

Introduction to Microprocessor & Digital Logic

Introduction to Microprocessor & Digital Logic ME262 Introduction to Microprocessor & Digital Logic (Sequential Logic) Summer 2 Sequential Logic Definition The output(s) of a sequential circuit depends d on the current and past states of the inputs,

More information

Notes on Digital Circuits

Notes on Digital Circuits PHYS 331: Junior Physics Laboratory I Notes on Digital Circuits Digital circuits are collections of devices that perform logical operations on two logical states, represented by voltage levels. Standard

More information

Chapter 2. Digital Circuits

Chapter 2. Digital Circuits Chapter 2. Digital Circuits Logic gates Flip-flops FF registers IC registers Data bus Encoders/Decoders Multiplexers Troubleshooting digital circuits Most contents of this chapter were covered in 88-217

More information

FE REVIEW LOGIC. The AND gate. The OR gate A B AB A B A B 0 1 1

FE REVIEW LOGIC. The AND gate. The OR gate A B AB A B A B 0 1 1 FE REVIEW LOGIC The AD gate f A, B AB The AD gates output will achieve its active state, ACTIVE HIGH, when BOTH of its inputs achieve their active state, ACTIVE E HIGH. A B AB f ( A, B) AB m (3) The OR

More information

CLC011 Serial Digital Video Decoder

CLC011 Serial Digital Video Decoder CLC011 Serial Digital Video Decoder General Description National s Comlinear CLC011, Serial Digital Video Decoder, decodes and descrambles SMPTE 259M standard Serial Digital Video datastreams with serial

More information

IP-DDC4i. Four Independent Channels Digital Down Conversion Core for FPGA FEATURES. Description APPLICATIONS HARDWARE SUPPORT DELIVERABLES

IP-DDC4i. Four Independent Channels Digital Down Conversion Core for FPGA FEATURES. Description APPLICATIONS HARDWARE SUPPORT DELIVERABLES Four Independent Channels Digital Down Conversion Core for FPGA v1.2 FEATURES Four independent channels, 24 bit DDC Four 16 bit inputs @ Max 250 MSPS Tuning resolution up to 0.0582 Hz SFDR >115 db for

More information

Driver circuit for CMOS linear image sensor

Driver circuit for CMOS linear image sensor Driver circuit for CMOS linear image sensor C13015-01 For CMOS linear image sensor S11639-01, etc. The C13015-01 is a driver circuit developed for Hamamatsu CMOS linear image sensor S11639-01, etc. By

More information

Trigger synchronization and phase coherent in high speed multi-channels data acquisition system

Trigger synchronization and phase coherent in high speed multi-channels data acquisition system White Paper Trigger synchronization and phase coherent in high speed multi-channels data acquisition system Synopsis Trigger synchronization and phase coherent acquisition over multiple Data Acquisition

More information

DNA-STP-SYNC Synchronization and Screw Terminal Panel. User Manual

DNA-STP-SYNC Synchronization and Screw Terminal Panel. User Manual DNA-STP-SYNC Synchronization and Screw Terminal Panel User Manual Accessory Panel for PowerDNA Cube (DNA) Systems February 2009 Edition PN Man-DNA-STP-SYNC-0209 Version 1.2 Copyright 1998-2009 All rights

More information

Checkpoint 2 Video Interface

Checkpoint 2 Video Interface University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Sciences EECS150 Fall 1998 R. Fearing and Kevin Cho 1. Objective Checkpoint 2 Video Interface

More information

STA2051E VESPUCCI 32-BIT SINGLE CHIP BASEBAND CONTROLLER FOR GPS AND TELEMATIC APPLICATIONS 1 FEATURES. Figure 1. Packages

STA2051E VESPUCCI 32-BIT SINGLE CHIP BASEBAND CONTROLLER FOR GPS AND TELEMATIC APPLICATIONS 1 FEATURES. Figure 1. Packages STA2051 VESPUCCI 32-BIT SINGLE CHIP BASEBAND CONTROLLER FOR GPS AND TELEMATIC APPLICATIONS DATA BRIEF 1 FEATURES ARM7TDMI 16/32 bit RISC CPU based host microcontroller. Complete Embedded Memory System:

More information

Clocking Spring /18/05

Clocking Spring /18/05 ing L06 s 1 Why s and Storage Elements? Inputs Combinational Logic Outputs Want to reuse combinational logic from cycle to cycle L06 s 2 igital Systems Timing Conventions All digital systems need a convention

More information

3G HDSDI interface board for SONY FCB HD cameras. Technical manual

3G HDSDI interface board for SONY FCB HD cameras. Technical manual 3G HDSDI interface board for SONY FCB HD cameras Technical manual Revision History Date Modifications Pages Oct, 9th, 2013 Original All 1 Board description This board provides a 3G HDSDI output for FCB

More information

Laboratory 1 - Introduction to Digital Electronics and Lab Equipment (Logic Analyzers, Digital Oscilloscope, and FPGA-based Labkit)

Laboratory 1 - Introduction to Digital Electronics and Lab Equipment (Logic Analyzers, Digital Oscilloscope, and FPGA-based Labkit) Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science 6. - Introductory Digital Systems Laboratory (Spring 006) Laboratory - Introduction to Digital Electronics

More information

TECHNICAL NOTE. What is Wander MEASUREMENT SOLUTIONS ANRITSU CORPORATION

TECHNICAL NOTE. What is Wander MEASUREMENT SOLUTIONS ANRITSU CORPORATION TECHNICAL NOTE What is Wander MEASUREMENT SOLUTIONS ANRITSU CORPORATION CONFIDENTIAL Copyright 2002 by ANRITSU CORPORATION The contents of this manual shall not be disclosed in any way or reproduced in

More information

Clock Domain Crossing. Presented by Abramov B. 1

Clock Domain Crossing. Presented by Abramov B. 1 Clock Domain Crossing Presented by Abramov B. 1 Register Transfer Logic Logic R E G I S T E R Transfer Logic R E G I S T E R Presented by Abramov B. 2 RTL (cont) An RTL circuit is a digital circuit composed

More information

Synchronization Issues During Encoder / Decoder Tests

Synchronization Issues During Encoder / Decoder Tests OmniTek PQA Application Note: Synchronization Issues During Encoder / Decoder Tests Revision 1.0 www.omnitek.tv OmniTek Advanced Measurement Technology 1 INTRODUCTION The OmniTek PQA system is very well

More information

Radar Signal Processing Final Report Spring Semester 2017

Radar Signal Processing Final Report Spring Semester 2017 Radar Signal Processing Final Report Spring Semester 2017 Full report report by Brian Larson Other team members, Grad Students: Mohit Kumar, Shashank Joshil Department of Electrical and Computer Engineering

More information

CPE/EE 427, CPE 527 VLSI Design I Sequential Circuits. Sequencing

CPE/EE 427, CPE 527 VLSI Design I Sequential Circuits. Sequencing CPE/EE 427, CPE 527 VLSI esign I Sequential Circuits epartment of Electrical and Computer Engineering University of Alabama in Huntsville Aleksandar Milenkovic ( www.ece.uah.edu/~milenka ) Combinational

More information

Dual-screen and Multiple-head Modes - Driving Two or More Monitors with the Matrox Pulsar

Dual-screen and Multiple-head Modes - Driving Two or More Monitors with the Matrox Pulsar INTRODUCTION This document describes how to use the Matrox Pulsar with multiple monitors. This allow a user to display an overlay over live video on one monitor while displaying the desktop or man machine

More information

DEPARTMENT OF ELECTRICAL &ELECTRONICS ENGINEERING DIGITAL DESIGN

DEPARTMENT OF ELECTRICAL &ELECTRONICS ENGINEERING DIGITAL DESIGN DEPARTMENT OF ELECTRICAL &ELECTRONICS ENGINEERING DIGITAL DESIGN Assoc. Prof. Dr. Burak Kelleci Spring 2018 OUTLINE Synchronous Logic Circuits Latch Flip-Flop Timing Counters Shift Register Synchronous

More information

Interfacing the TLC5510 Analog-to-Digital Converter to the

Interfacing the TLC5510 Analog-to-Digital Converter to the Application Brief SLAA070 - April 2000 Interfacing the TLC5510 Analog-to-Digital Converter to the TMS320C203 DSP Perry Miller Mixed Signal Products ABSTRACT This application report is a summary of the

More information

FSM Cookbook. 1. Introduction. 2. What Functional Information Must be Modeled

FSM Cookbook. 1. Introduction. 2. What Functional Information Must be Modeled FSM Cookbook 1. Introduction Tau models describe the timing and functional information of component interfaces. Timing information specifies the delay in placing values on output signals and the timing

More information

LCD Direct Drive Using HPC

LCD Direct Drive Using HPC LCD Direct Drive Using HPC INTRODUCTION Liquid Crystal Displays (LCD) are used in a wide variety of applications They are extremely popular because of their low power consumption Manufacturers of Automobiles

More information

PART. Maxim Integrated Products 1

PART. Maxim Integrated Products 1 9-646; Rev 0; /00 General Description The MAX94 evaluation kit (EV kit) is assembled with a MAX94 and the basic components necessary to evaluate the -bit analog-to-digital converter (ADC). Connectors for

More information

Experiment 8 Introduction to Latches and Flip-Flops and registers

Experiment 8 Introduction to Latches and Flip-Flops and registers Experiment 8 Introduction to Latches and Flip-Flops and registers Introduction: The logic circuits that have been used until now were combinational logic circuits since the output of the device depends

More information

TV Character Generator

TV Character Generator TV Character Generator TV CHARACTER GENERATOR There are many ways to show the results of a microcontroller process in a visual manner, ranging from very simple and cheap, such as lighting an LED, to much

More information

FPGA Design. Part I - Hardware Components. Thomas Lenzi

FPGA Design. Part I - Hardware Components. Thomas Lenzi FPGA Design Part I - Hardware Components Thomas Lenzi Approach We believe that having knowledge of the hardware components that compose an FPGA allow for better firmware design. Being able to visualise

More information

The outputs are formed by a combinational logic function of the inputs to the circuit or the values stored in the flip-flops (or both).

The outputs are formed by a combinational logic function of the inputs to the circuit or the values stored in the flip-flops (or both). 1 The outputs are formed by a combinational logic function of the inputs to the circuit or the values stored in the flip-flops (or both). The value that is stored in a flip-flop when the clock pulse occurs

More information

University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science. EECS150, Spring 2011

University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science. EECS150, Spring 2011 University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science EECS150, Spring 2011 Homework Assignment 2: Synchronous Digital Systems Review, FPGA

More information

Unit 11. Latches and Flip-Flops

Unit 11. Latches and Flip-Flops Unit 11 Latches and Flip-Flops 1 Combinational Circuits A combinational circuit consists of logic gates whose outputs, at any time, are determined by combining the values of the inputs. For n input variables,

More information

https://daffy1108.wordpress.com/2014/06/08/synchronizers-for-asynchronous-signals/

https://daffy1108.wordpress.com/2014/06/08/synchronizers-for-asynchronous-signals/ https://daffy1108.wordpress.com/2014/06/08/synchronizers-for-asynchronous-signals/ Synchronizers for Asynchronous Signals Asynchronous signals causes the big issue with clock domains, namely metastability.

More information

Chapter 9 Counters. Clock Edge Output Q 2 Q 1 Q

Chapter 9 Counters. Clock Edge Output Q 2 Q 1 Q hapter 9 ounters 9. Introduction ounters are devices which have a LOK input and produce n outputs. ounters consist of flip-flops connected together in specific ways such that on each clock edge the output

More information

Datasheet SHF A

Datasheet SHF A SHF Communication Technologies AG Wilhelm-von-Siemens-Str. 23D 12277 Berlin Germany Phone +49 30 772051-0 Fax ++49 30 7531078 E-Mail: sales@shf.de Web: http://www.shf.de Datasheet SHF 19120 A 2.85 GSa/s

More information

Driver circuit for InGaAs linear image sensor

Driver circuit for InGaAs linear image sensor (G11135 series, G14006-512DE) The is a driver circuit developed for InGaAs linear image sensors (G11135 series, G14006-512DE). The driver circuit consists of an analog video signal processing circuit (16-bit

More information

Digital Logic Design Sequential Circuits. Dr. Basem ElHalawany

Digital Logic Design Sequential Circuits. Dr. Basem ElHalawany Digital Logic Design Sequential Circuits Dr. Basem ElHalawany Combinational vs Sequential inputs X Combinational Circuits outputs Z A combinational circuit: At any time, outputs depends only on inputs

More information

L CHANNEL LOW POWER PREAMPLIFIER

L CHANNEL LOW POWER PREAMPLIFIER 1 FEATURES Dual Power Supplies of +5V, 10% and -3v, 6% Low Power consumption; 980 mw @ 800Mb/s (Single Head 100% Write mode duty cycle, Random pattern, Iw = 40mA, Max Ovs). Flip Chip package.l6316 Differential

More information

Smart Night Light. Figure 1: The state diagram for the FSM of the ALS.

Smart Night Light. Figure 1: The state diagram for the FSM of the ALS. Smart Night Light Matt Ball, Aidan Faraji-Tajrishi, Thomas Goold, James Wallace Electrical and Computer Engineering Department School of Engineering and Computer Science Oakland University, Rochester,

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2011

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2011 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2011 Lecture 9: TX Multiplexer Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements & Agenda Next

More information

FPGA Design with VHDL

FPGA Design with VHDL FPGA Design with VHDL Justus-Liebig-Universität Gießen, II. Physikalisches Institut Ming Liu Dr. Sören Lange Prof. Dr. Wolfgang Kühn ming.liu@physik.uni-giessen.de Lecture Digital design basics Basic logic

More information

Introduction. NAND Gate Latch. Digital Logic Design 1 FLIP-FLOP. Digital Logic Design 1

Introduction. NAND Gate Latch.  Digital Logic Design 1 FLIP-FLOP. Digital Logic Design 1 2007 Introduction BK TP.HCM FLIP-FLOP So far we have seen Combinational Logic The output(s) depends only on the current values of the input variables Here we will look at Sequential Logic circuits The

More information

Driver circuit for MPPC

Driver circuit for MPPC Simple evaluation starter kit for non-cooled s The is a starter kit designed for simple non-cooled evaluations. It consists of a sensor board and a power supply board. The sensor board includes an socket

More information