Name of the Department where Registered : Electronics and Communication Engineering

Size: px
Start display at page:

Download "Name of the Department where Registered : Electronics and Communication Engineering"

Transcription

1 Title of the Thesis Name of the Student : A RECONFIGURABLE LOGIC BIST ARCHITECTURE FOR SECURE TESTING OF VLSI CIRCUITS : Ramesh Bhakthavatchalu Year of Registration : 2009 Name of the Department where Registered : Electronics and Communication Engineering Name of the Thesis Advisor : Dr. Nirmala Devi M. Name of the School Name and Address of the University : Amrita School of Engineering : Amrita Vishwa Vidyapeetham Amrita Nagar P.O., Ettimadai, Coimbatore

2 Synopsis of the PhD Thesis A RECONFIGURABLE LOGIC BIST ARCHITECTURE FOR SECURE TESTING OF VLSI CIRCUITS by RAMESH BHAKTHAVATCHALU Department of Electronics and Communication Engineering Amrita School of Engineering Coimbatore , India October

3 1 Introduction The functionality of electronics equipments and gadgets has achieved a phenomenal growth over the last two decades while their physical sizes and weights have come down drastically. The major reason is due to the rapid advances in integration technologies, which enables fabrication of many millions of transistors in a single integrated circuit (IC) or chip. Every IC in the industry follows Moore s law. According to Moore s law, number of transistors (transistor density) in an IC doubles in every 1.5 years. With the recent advances in the technology, device shrinks to nanometer scale, but density and complexity of the ICs keep on increasing. This may result in many manufacturing faults and device failure. To accommodate more number of transistors, the device feature size is reduced. Reduction in the feature sizes results in increasing the manufacturing faults and fault detection becomes very difficult. VLSI testing is becoming more and more important and challenging to verify whether a device functions properly or not. Conventional automatic test equipment (ATE) based testing method is no longer able to handle the ever-growing test challenges. Logic built-in self-test (LBIST) is widely being adopted as the testing technique for most current day scan based designs. Logic BIST does not alter the scan structure of the designs permitting them to have both ATE based testing and also Logic BIST. The nature of vectors in Logic BIST are usually pseudo random and so even for a moderately sized design, several thousands of patterns are to be generated in the Logic BIST compared to a few hundreds of deterministic test patterns in ATPG to achieve adequate fault coverage. So, methods to improve the fault coverage of Logic BIST by increasing the pattern efficiency are constantly explored. LBIST found its use mainly in safety-critical (automotive, medical, military), mission-critical (deep-space, aviation) and high-availability (telecom) applications. However, process technologies plunging below 22nm, LBIST will become compulsory for applicationspecific integrated circuits (ASICs), application specific standard products (ASSPs) and complex commercial ICs (Nan Li, et al., 2015). Any electronic system employed in safety critical applications is expected to have a periodical self testing scheme for sustained error free operation. For example, medical electronic devices need to test themselves to assure continued safety of the patients. Another example is automotive electronics. With the explosion in the growth of the automotive semiconductors industry comes an associated and intense focus on high silicon quality and reliability. The last thing anyone wants is a brake 2

4 system failure due to a latent silicon defect, and concerns over reliability are driving changes in the testing requirements for these chips. The electronics must meet certain safety standards to accommodate the fast growing technological revolution. 2 Motivation Very large scale integrated circuits, especially system-on-chip (SoC) designs, become increasingly complex with each generation, the amount of test data required to achieve acceptable test quality is also proportionately very large. Hence, the test data storage requirements on an external tester and the test data bandwidth requirements between the tester and chip are growing rapidly. As test data volume increases, test power also increases rapidly. Test data compression techniques provide a means to reduce these requirements thereby allowing less expensive testers to be used. Moreover, it reduces the test time. Compressing the output response is relatively easy since lossy compression techniques can be employed, e.g., using a multiple-input signature register (MISR). However, compressing input test vectors is much more difficult because lossless compression techniques must be used. Recently, a significant amount of research has been done on lossless compression techniques for test vectors. This proposal approaches the test vector compression using an efficient seed selection algorithm rather than compressing the input or output test vectors. On-chip pseudo random patterns generation based Logic BIST has emerged as a primary solution to test current day large complex designs. The ATE based testing was using deterministic test patterns. Both the deterministic and pseudorandom test patterns which are generally used for testing have their advantages and drawbacks. In the on-chip testing scenario, it is comparatively easy to generate pseudo-random pattern generator circuits than deterministic pattern generator circuits. It is because, generation of deterministic patterns requires design of highly complex digital circuits adding a tremendous overhead on area, size and complexity. LBIST logic coverage typically falls in the range of 75% to 80%. The number of faults detected by a random pattern is usually high for the first few or many patterns and then reduces with further patterns (Agrawal, 2002). The undetected faults in Logic BIST are usually referred as random pattern resistant (RPR) faults. It is not known precisely when to stop generating these random patterns and is usually done when no more improvement in fault coverage is seen. Wang (wang, 2006) observed that LBIST requires more test patterns than conventional testing, which may take an extra 3

5 millisecond or two to work, and probably would not provide high enough test coverage without additional test vectors. For manufacturing test, the most common approach is the combination of LBIST and ATPG driven tests for the majority of users. During ATPG testing, LBIST is turned off and treated like functional logic. Instead of running both ATPG and BIST, this proposal suggests a pseudo random test pattern set selected from the ATPG patterns. Thus it generates the same fault coverage of ATPG at the speed of LBIST. Another important motivation of this work is the security of testing structures. JTAG is a widely used IEEE compatible test and debug standard interface for chip, board and systems. Several solutions are being proposed for securing JTAG during debugging and testing. Some of the previous protection methods are explained below. As per the literature survey, to the best of our knowledge, no protection mechanisms were suggested /applied to logic BIST till date. Outcome of literature survey in reduction in test data volume shows that there is a need to explore possible pattern reduction techniques to realize a fault coverage as equal to ATPG based testing and also without any overhead in area and power. The necessary parameters evaluation, possibility of BIST structural changes and modifications in testing architectures are to be investigated. There is sufficient scope for improvements and enormous potential research outcome is possible. The survey results and industry based white papers motivate to carry out this research work to attempt research in certain grey areas of deterministic BIST based on structural modifications. Our focus is the Logic BIST test vector reduction using ATPG patterns and security of the test structures to protect a hacker to intrude in to the hardware details of the chip. 3 Objectives and Scope Main objectives of this work is to 1. Reduce the random test pattern set size by suitably selecting the subset of the total number of random patterns generated from the LFSR (PRPG) incorporated in to the Logic BIST of any given design. The algorithm is called as Seed Selection Logic based Pattern Reduction Technique. 2. Design and Develop a unique secure architecture for testing of VLSI circuits using Logic BIST which has the following features:- 4

6 Reconfigurable BIST modules Logic BIST control using Boundary scan. Authentication and Authorization Module and an Access Provider Logic. Multiple cryptographic algorithm based encrypted private key storage technique. Early work on LFSR designs for random patterns based testing was performed two decades before. (Wang and McCluskey, 1986) Wang presented a design technique for LFSR that generate test patterns for pseudo-exhaustive testing. This technique is applicable only to combinational network in which none of the outputs depends on all inputs. Several flavors of scan chain re-ordering and broadcasting the input test vectors set were proposed between the years 1990 to Januz (Janusz Rajski et al., 1997) proposed a new and very efficient scheme to decompress deterministic test vectors, to be used as part of a built-in test strategy using mixed-mode pattern generation. The scheme is based on the reseeding of an LFSR and exploits variable-length seeds to encode the deterministic test vectors. A synthesis procedure for generating sequence altering logic to embed deterministic test cubes in a pseudorandom sequence has been presented (Kedarnath et al., 2006). It constructs a sequential multilevel circuit that very efficiently encodes the deterministic test cubes. Peter (Peter et al., 2003) presented DBIST, a deterministic BIST method that combines test-generation, LFSR-seed encoding and fault simulation to achieve the same high fault coverage as deterministic ATPG while applying patterns in logic BIST architecture. The number of patterns encoded into a single LFSR seed varies continuously to accommodate the most efficient encoding. LFSR seeds control all care bits in all patterns which need extra hardware to be added into the design. A core with a virtual scan chain reduces test costs for the system integrator (wang, et al., 2006). Thus, core vendors may find virtual scan chains a means to achieve a competitive advantage in selling their cores. But in this work, the default ordering of the scan chains was used. Ahmad (Ahmad et al., 2005) presented a seed-ordering technique based on the transition matrix of the PRPG and efficiently exploiting the don t care bits in the test patterns. This technique avoids high complexity like previous analytical solutions and avoids long simulation times. But the fault coverage was not enough to reach that of ATPG. Two other methods for improving the compression of linear compression schemes, scan inversion, and reconfiguration of the de-compressor, have been proposed (Kedarnath, et al., 2006). A systematic procedure based on linear algebra was described for selecting the set 5

7 of inverted scan cells. Experimental results show that scan inversion can dramatically improve the encoding efficiency of combinational linear decompressors bringing it close to that of sequential decompressors. Scan inversion can also significantly improve the encoding efficiency for sequential linear decompressors. Scan inversion can be implemented with no hardware overhead. A single cycle access structure is discussed in various implementations with and without hold mode as well as gated and partial implementation methods are presented (Tobias, 2012). The aspects feasibility, peak power consumption, switching activity during test, area, test cycles, at-speed testing and debugging features are compared. However this method also adds considerable area overhead. To provide security features to the testing structures some research were proposed in last decade. Rosenfeld and Karri (2010) analyzed various possible JTAG attacks and proposed protection scheme. Security problems arise when there is a discrepancy between what people expect and what assurances a given system can provide. It presents different ways in which an attacker can exploit a JTAG interface, different capabilities of attacker, and its countermeasures. (Luke pierce and Spyros Tragoudas, 2011) Luke proposed a multilevel privilege security system for JTAG controller. It monitors and controls the individual scan chain and hence restricts the malicious data being loaded into the JTAG controller. All techniques proposed in literature can be classified based on their features and drawbacks. 4 Description of Research Work Logic BIST test structures are embedded in to the integrated circuit (IC) with the design unit, thus, add a small permissible area overhead (Wang, 1988). The nature of vectors in LBIST are usually pseudo random and so even for a moderately sized design, several thousands of patterns are to be generated in the LBIST compared to a few hundreds of deterministic test patterns in ATPG to achieve adequate fault coverage. So fault coverage is usually much less than 100% and very long test sequences are needed in LBIST. To overcome these difficulties, the following techniques are proposed in this thesis. 1. Reconfigurable LBIST blocks 2. Seed Selection Algorithm(SSA) 3. Bit-Fixing in Pseudorandom sequences after SSA 4. A multilevel security based authentication module for the JTAG. 5. A multi-access security feature for the Logic BIST. 6

8 Reconfigurable LBIST will help in rearranging the pattern generator, ROM memory, MISR and other modules in accordance with the DUT scan and test specifications. All the parameters like the register width, size, initial values, expected values, number of clock cycles to run can be modified at run time. A typical LBIST architecture is shown in Figure.1. Figure 1. Logic BIST Architecture Seed selection algorithm compresses the exhaustive test pattern generated by LBIST. It provides high compression ratio, as a result the number of test vectors applied to DUT are reduced, which in turn reduces the test application time and power as well. In BitFixing in Pseudorandom Sequences, deterministic test cubes that detect the random-patternresistant faults are embedded in a pseudorandom sequence of bits generated by a linear feedback shift register (LFSR). The proposed method uses STUMPS (Self-Test Using a MISR and Parallel Shift register) architecture which is widely used in practice (Agarwal, 2002). In STUMPS architecture, it is proposed to have the primary inputs and scan inputs of the circuit are fed by separate LFSRs to have better pattern controllability of the shift and capture cycles. A parallel LFSR structure is used as the Pseudo Random Pattern Generator (PRPG) to feed the scan inputs and primary inputs of the design which in turn reducing the BIST area compared to a serial LFSR structure. All the proposals are implemented on ISCAS 89 and ISCAS 99 benchmarks designs for comparison and analysis of the results with the literature methods. Experimental results show that even for the small sized ISCAS bench mark designs, the seed selection algorithm has produced multifold reduction in test vectors ranging from 13 folds (s400) reduction to 2 7

9 fold (s349) reductions in the size of the exhaustive test vectors. The indicator test cycles per net [TCPN(COV)] for a certain coverage (COV) is introduced to compare the effectiveness of the test structure. It is the number of test cycles divided by the number of nets (NETS) of the given net list. TCPN(COV)=TC/NETS. (5.13) where, TCPN = test cycle per net, TC = test cycle. This is a measure which can be used to compare different test methods and algorithms in terms of number of test cycles for equal design sizes. It is an indicator showing the degree of dependency a test method is having on the size of the design. Usually as the design size increases the TCPN increases, and then the necessity of such a test for the bigger design arises. The TCPN results of the seed selection algorithm are found to be less than all the existing methods in literature as seen in Figure T C P N [32] TCPN [24] SCAhS [24] SCAS-TCPN Cadence ATPG - TCPN 0 Figure 2. Comparative TCPN results of the proposed method with literature methods A secured Logic BIST access is proposed by providing two stage multilevel security schemes to the design. The first stage, multi-level locking mechanism is incorporated in the JTAG that prevents the unauthorized access to interfere with the scheduled functions of the device. A multiple cryptographic algorithm based encrypted private key storage technique is 8

10 implemented in the logic BIST module. Proposed module consists of a security configuration register, a security extension module, a storage module, an identity module, a comparator and associated multiplexers. Boundary Cells Figure 3. JTAG structure with dual stage security blocks A complete JTAG structure with both security modules is shown in Figure 3. There are four levels of access defined. User (level 1), engineer (level 2), designer (level 3) and architect (level 4). Each of these levels has different access to the circuit s internal logic. a. User level have the permission to access all the internal logic circuits. It does not have the permission to write or modify the contents of the internal registers. b. Engineer level: The users in this level can write into some of the internal registers. c. Designer level: The users in this level are allowed to write, configure and modify the contents of some of the registers. 9

11 d. Architect level: the users in this level have the full control over the circuit s internal logic. They can write, enable/disable, configure and modify the contents of the registers and even change the size of all the internal registers. The results of the addition of security modules show that the area and power overhead is negligible even for these small benchmark designs. For ISCAS 89 area overhead is < 3.9% and power overhead is < 7.7%. For ISCAS 99 area overhead is < 4.7% and power overhead is < 8.5% 5 Conclusions In a nutshell, this work contributes three important techniques for efficient secure testing of VLSI IC s. First, it presented the addition of programmable feature in the LBIST blocks for better reusability between multiple designs. Second, a new pattern mapping algorithm has been proposed for shortest random test pattern set and seed selection and more generally for test set embedding LBIST schemes. The new mapping method exploits the maneuverability and the compactness of the ATPG patterns function representation. Evaluations performed on the ISCAS 89 and ISCAS 99 benchmark designs have revealed that both runtime and patterns size reduction are improved by several orders of magnitude as compared to the original exhaustive patterns set approach. This reduction in size of the random patterns by several orders of magnitude becomes the major strength of this proposed method. Moreover, this efficiency gain of the proposed method can be used to obtain even better solutions in terms of test power reduction and fault coverage. As a lead to this improvement, the effectiveness of the test sequences obtained by a metric called TCPN (test cycles per net) and compared with the existing methods in literature. Another major contribution of this work is the multilevel security method which provides several magnitudes of difficulty to any unintended user to operate the circuitry. Many of the literature methods propose implementation of the crypto algorithms inside the design leading to prohibitive increase in the area, timing and power overhead. The particularity of this method is that it combines the crypto methods with designer programmable difficulty levels to access. Evaluations performed on the ISCAS 89 and ISCAS 99 benchmark designs have revealed that both reduction in area overhead and cracking difficulty are improved by several orders (20 to 30 times on ISCAS benchmark designs) of magnitude as compared to the existing methods. The main contributions of this work are, 10

12 Scalable Pattern Mapping Approach: An innovative approach has been introduced for mapping deterministic patterns to a pseudo-random test sequence. This approach relies on the condensed LFSR concept and proposes the definitive nature of the deterministic patterns being a subset in the exhaustive test set. This method does not add any circuitry and can be employed on already LBIST inserted designs also. Seed selection approach for shortest test sequence: An innovative approach has been introduced for selecting a particular seed (starting value of the LFSR). This method uses the full exhaustive pattern set of the Logic BIST and the ATPG pattern set for comparison and analysis. The output of this method is the shortest random patterns test set which includes all the ATPG patterns. The fault coverage of stuck at fault (modeled defects) by pseudo-random sequences is evaluated and analyzed. Evaluation of impact on TCPN (Test Cycle Per Net) and Test coverage: The impact of the length of the seed selection based test sequences on the test coverage of the modeled defects and test cycles per net has been investigated as well. Multi level security for Logic BIST circuits: An innovative multilevel security approach for on-chip test structures is employed. In order to improve cracking difficulty, the programmable key length scheme has been implemented with a combinational logic module for comparison of different levels of access. Possible key length, hardware overhead and cracking difficulty level have been presented. Innovative Crypto keys based security register approach: An important achievement of this work is a crypto keys based security register, which is used to enable and improve the security based on multiple crypto algorithms, different levels of access and reconfigurable register structure. 6 References Michael L Bushnell, Vishwani D Agrawal. (2002). Essentials of Electronic Testing For Digital, Memory And Mixed Signal VLSI Circuits. Kluwer Academic Publishers,. L.-T. Wang, C.-W. Wu, and X. Wen, Eds. (2006). VLSI Test Principles and Architectures: Design for Testability, Morgan Kaufmann. Kedarnath J. Balakrishnan, and Nur A. Touba. (2006). Improving Linear Test Data Compression. IEEE Transactions On Very Large Scale Integration Systems. 14(11):

13 Ahmad A. Al-Yamani, Subhasish Mitra, and Edward J. McCluskey. (2005). Optimized Reseeding by Seed Ordering and Encoding. IEEE Trnsactions On Computer-Aided Design Of Integrated Circuits And Systems, 24(2): Castillo, E., Meyer-Baese, U., Garcia, A., Parrilla, L., Lloris, A. (2007). Efficient Intellectual Property Protection Scheme for IP Cores. IEEE Transactions On Very Large Scale Integration Systems. 15(5): Nan Li, Gunnar Carlsson, Elena Dubrova, Kim Petersen. (2015). Logic BIST: State-of-the- Art and Open Problems. IEEExplore. Mohammad Tehranipoor and Cliff Wang. (2011) Introduction to Hardware Security and Trust. Chapter 17. Springer, pages Kurt Rosenfeld and Ramesh Karri. (2011). Security-Aware SoC Test Access Mechanism. IEEE 29 th VLSI Test Symposium (VTS), pages Luke pierce and Spyros Tragoudas. (2011) Multilevel Secure JTAG Architecture. IEEE 17 th International On-Line Symposium, pages Amitabh Das, Barıs Ege, Santosh Ghosh, Lejla Batina and Ingrid Verbauwhede. (2013). Security Analysis of Industrial Test Compression Schemes. IEEE Transactions on Computer-aided Design of Integrated circuits and Systems. 32(12). Mitra, S., McCluskey, E J., Makar, S. (2002). Design for testability and testing of IEEE TAP controller. Proceedings 20 th IEEE VLSI Test Symposium (VTS 02), pages Janusz Rajski, Katarzyna Radecka, Jerzy Tyszer. (1997). Arithmetic Built-In Self-Test for DSP Cores. IEEE Transactions On Computer-Aided Design Of Integrated Circuits And Systems.16(11):1-7. Peter Wohl, John A. Waicukauski, Sanjay Patel and Minesh B. Amin. (2003). Efficient Compression and Application of Deterministic Patterns in a Logic BIST Architecture. DAC 2003, pages Tobias Strauch. (2012). Single Cycle Access Structure for Logic Test. IEEE Transactions On Very Large Scale Integration Systems. 20(5): L.T. Wang, E.J. McCluskey. (1986). Concurrent Built-In Logic Block Observer (CBILBO). IEEE International Symposium on Circuits and Systems(ISCAS), pages List of publications based on research work A. International Journal Publications: 1. Ramesh Bhakthavatchalu and Nirmala Devi.M. (2015). Deterministic Test Data Compression in Logic BIST. International Journal of Applied Engineering Research 10(3):

14 2. Ramesh Bhakthavatchalu and Nirmala Devi.M. (2015). Crypto Keys Based Secure Access Control for JTAG and Logic BIST Architecture. International Journal of Engineering and Technology. 7(3): Ramesh Bhakthavatchalu and Nirmala Devi.M. (2015).Verilog Design of Programmable JTAG Controller for Digital VLSI IC s. Indian Journal of Science and Technology. 8(17): Ramesh Bhakthavatchalu and Nirmala Devi.M. Analysis of Test Cycles Reduction in Logic BIST based Testing of VLSI designs, Int. J. of High Performance Systems Architecture, Inderscience Publications (submitted). B. International Conference Publications 5. Ramesh Bhakthavatchalu and Nirmala Devi.M. (2014). Deterministic Seed Selection and Pattern Reduction in Logic BIST. IEEE VLSI Design And Test. 6. Ramesh Bhakthavatchalu and Nirmala Devi.M. (2014). Reconfigurable Logic Built in Self-Test technique for SoC Applications, Elsevier International Conference on Communication and Computing. 13

This Chapter describes the concepts of scan based testing, issues in testing, need

This Chapter describes the concepts of scan based testing, issues in testing, need Chapter 2 AT-SPEED TESTING AND LOGIC BUILT IN SELF TEST 2.1 Introduction This Chapter describes the concepts of scan based testing, issues in testing, need for logic BIST and trends in VLSI testing. Scan

More information

DETERMINISTIC SEED RANGE AND TEST PATTERN DECREASE IN LOGIC BIST

DETERMINISTIC SEED RANGE AND TEST PATTERN DECREASE IN LOGIC BIST DETERMINISTIC SEED RANGE AND TEST PATTERN DECREASE IN LOGIC BIST PAVAN KUMAR GABBITI 1*, KATRAGADDA ANITHA 2* 1. Dept of ECE, Malineni Lakshmaiah Engineering College, Andhra Pradesh, India. Email Id :pavankumar.gabbiti11@gmail.com

More information

Design and Implementation OF Logic-BIST Architecture for I2C Slave VLSI ASIC Design Using Verilog

Design and Implementation OF Logic-BIST Architecture for I2C Slave VLSI ASIC Design Using Verilog Design and Implementation OF Logic-BIST Architecture for I2C Slave VLSI ASIC Design Using Verilog 1 Manish J Patel, 2 Nehal Parmar, 3 Vishwas Chaudhari 1, 2, 3 PG Students (VLSI & ESD) Gujarat Technological

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET476) Lecture 9 (2) Built-In-Self Test (Chapter 5) Said Hamdioui Computer Engineering Lab Delft University of Technology 29-2 Learning aims Describe the concept and

More information

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab. Built-In Self Test 2

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab. Built-In Self Test 2 CMOS INTEGRATE CIRCUIT ESIGN TECHNIUES University of Ioannina Built In Self Test (BIST) ept. of Computer Science and Engineering Y. Tsiatouhas CMOS Integrated Circuit esign Techniques VLSI Systems and

More information

Design of Fault Coverage Test Pattern Generator Using LFSR

Design of Fault Coverage Test Pattern Generator Using LFSR Design of Fault Coverage Test Pattern Generator Using LFSR B.Saritha M.Tech Student, Department of ECE, Dhruva Institue of Engineering & Technology. Abstract: A new fault coverage test pattern generator

More information

Available online at ScienceDirect. Procedia Computer Science 46 (2015 ) Aida S Tharakan a *, Binu K Mathew b

Available online at  ScienceDirect. Procedia Computer Science 46 (2015 ) Aida S Tharakan a *, Binu K Mathew b Available online at www.sciencedirect.com ScienceDirect Procedia Computer Science 46 (2015 ) 1409 1416 International Conference on Information and Communication Technologies (ICICT 2014) Design and Implementation

More information

VLSI System Testing. BIST Motivation

VLSI System Testing. BIST Motivation ECE 538 VLSI System Testing Krish Chakrabarty Built-In Self-Test (BIST): ECE 538 Krish Chakrabarty BIST Motivation Useful for field test and diagnosis (less expensive than a local automatic test equipment)

More information

Design of Efficient Programmable Test-per-Scan Logic BIST Modules

Design of Efficient Programmable Test-per-Scan Logic BIST Modules Design of Efficient Programmable Test-per-Scan Logic BIST Modules Devika K N 1 and Ramesh Bhakthavatchalu 2 Electronics and Communication Engineering Amrita School of Engineering, Amritapuri Amrita Vishwa

More information

Overview: Logic BIST

Overview: Logic BIST VLSI Design Verification and Testing Built-In Self-Test (BIST) - 2 Mohammad Tehranipoor Electrical and Computer Engineering University of Connecticut 23 April 2007 1 Overview: Logic BIST Motivation Built-in

More information

Response Compaction with any Number of Unknowns using a new LFSR Architecture*

Response Compaction with any Number of Unknowns using a new LFSR Architecture* Response Compaction with any Number of Unknowns using a new LFSR Architecture* Agilent Laboratories Palo Alto, CA Erik_Volkerink@Agilent.com Erik H. Volkerink, and Subhasish Mitra,3 Intel Corporation Folsom,

More information

I. INTRODUCTION. S Ramkumar. D Punitha

I. INTRODUCTION. S Ramkumar. D Punitha Efficient Test Pattern Generator for BIST Using Multiple Single Input Change Vectors D Punitha Master of Engineering VLSI Design Sethu Institute of Technology Kariapatti, Tamilnadu, 626106 India punithasuresh3555@gmail.com

More information

Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory. National Central University

Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory. National Central University Chapter 3 Basics of VLSI Testing (2) Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory Department of Electrical Engineering National Central University Jhongli, Taiwan Outline Testing Process Fault

More information

IMPLEMENTATION OF X-FACTOR CIRCUITRY IN DECOMPRESSOR ARCHITECTURE

IMPLEMENTATION OF X-FACTOR CIRCUITRY IN DECOMPRESSOR ARCHITECTURE IMPLEMENTATION OF X-FACTOR CIRCUITRY IN DECOMPRESSOR ARCHITECTURE SATHISHKUMAR.K #1, SARAVANAN.S #2, VIJAYSAI. R #3 School of Computing, M.Tech VLSI design, SASTRA University Thanjavur, Tamil Nadu, 613401,

More information

Design for Test. Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective.

Design for Test. Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective. Design for Test Definition: Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective. Types: Design for Testability Enhanced access Built-In

More information

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September-2014 917 The Power Optimization of Linear Feedback Shift Register Using Fault Coverage Circuits K.YARRAYYA1, K CHITAMBARA

More information

A Novel Low Power pattern Generation Technique for Concurrent Bist Architecture

A Novel Low Power pattern Generation Technique for Concurrent Bist Architecture A Novel Low Power pattern Generation Technique for Concurrent Bist Architecture Y. Balasubrahamanyam, G. Leenendra Chowdary, T.J.V.S.Subrahmanyam Research Scholar, Dept. of ECE, Sasi institute of Technology

More information

Survey of Test Vector Compression Techniques

Survey of Test Vector Compression Techniques Tutorial Survey of Test Vector Compression Techniques Nur A. Touba University of Texas at Austin Test data compression consists of test vector compression on the input side and response compaction on the

More information

Built-In Self-Test (BIST) Abdil Rashid Mohamed, Embedded Systems Laboratory (ESLAB) Linköping University, Sweden

Built-In Self-Test (BIST) Abdil Rashid Mohamed, Embedded Systems Laboratory (ESLAB) Linköping University, Sweden Built-In Self-Test (BIST) Abdil Rashid Mohamed, abdmo@ida ida.liu.se Embedded Systems Laboratory (ESLAB) Linköping University, Sweden Introduction BIST --> Built-In Self Test BIST - part of the circuit

More information

Using on-chip Test Pattern Compression for Full Scan SoC Designs

Using on-chip Test Pattern Compression for Full Scan SoC Designs Using on-chip Test Pattern Compression for Full Scan SoC Designs Helmut Lang Senior Staff Engineer Jens Pfeiffer CAD Engineer Jeff Maguire Principal Staff Engineer Motorola SPS, System-on-a-Chip Design

More information

SIC Vector Generation Using Test per Clock and Test per Scan

SIC Vector Generation Using Test per Clock and Test per Scan International Journal of Emerging Engineering Research and Technology Volume 2, Issue 8, November 2014, PP 84-89 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) SIC Vector Generation Using Test per Clock

More information

Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques

Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques Akkala Suvarna Ratna M.Tech (VLSI & ES), Department of ECE, Sri Vani School of Engineering, Vijayawada. Abstract: A new

More information

for Digital IC's Design-for-Test and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ

for Digital IC's Design-for-Test and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ Design-for-Test for Digital IC's and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ 07458 www.phptr.com ISBN D-13-DflMfla7-l : Ml H Contents Preface Acknowledgments Introduction

More information

LOW-OVERHEAD BUILT-IN BIST RESEEDING

LOW-OVERHEAD BUILT-IN BIST RESEEDING LOW-OVERHEA BUILT-IN BIST RESEEING Ahmad A. Al-Yamani and Edward J. McCluskey Center for Reliable Computing, Stanford University {alyamani, ejm@crc.stanford.edu} Abstract Reseeding is used to improve fault

More information

Synthesis Techniques for Pseudo-Random Built-In Self-Test Based on the LFSR

Synthesis Techniques for Pseudo-Random Built-In Self-Test Based on the LFSR Volume 01, No. 01 www.semargroups.org Jul-Dec 2012, P.P. 67-74 Synthesis Techniques for Pseudo-Random Built-In Self-Test Based on the LFSR S.SRAVANTHI 1, C. HEMASUNDARA RAO 2 1 M.Tech Student of CMRIT,

More information

Hybrid BIST Based on Weighted Pseudo-Random Testing: A New Test Resource Partitioning Scheme

Hybrid BIST Based on Weighted Pseudo-Random Testing: A New Test Resource Partitioning Scheme Hybrid BST Based on Weighted Pseudo-Random Testing: A New Test Resource Partitioning Scheme Abhijit Jas, C.V. Krishna, and Nur A. Touba Computer Engineering Research Center Department of Electrical and

More information

Transactions Brief. Circular BIST With State Skipping

Transactions Brief. Circular BIST With State Skipping 668 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 10, NO. 5, OCTOBER 2002 Transactions Brief Circular BIST With State Skipping Nur A. Touba Abstract Circular built-in self-test

More information

Design of BIST Enabled UART with MISR

Design of BIST Enabled UART with MISR International Journal of Emerging Engineering Research and Technology Volume 3, Issue 8, August 2015, PP 85-89 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) ABSTRACT Design of BIST Enabled UART with

More information

Changing the Scan Enable during Shift

Changing the Scan Enable during Shift Changing the Scan Enable during Shift Nodari Sitchinava* Samitha Samaranayake** Rohit Kapur* Emil Gizdarski* Fredric Neuveux* T. W. Williams* * Synopsys Inc., 700 East Middlefield Road, Mountain View,

More information

Weighted Random and Transition Density Patterns For Scan-BIST

Weighted Random and Transition Density Patterns For Scan-BIST Weighted Random and Transition Density Patterns For Scan-BIST Farhana Rashid Intel Corporation 1501 S. Mo-Pac Expressway, Suite 400 Austin, TX 78746 USA Email: farhana.rashid@intel.com Vishwani Agrawal

More information

Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA

Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA M.V.M.Lahari 1, M.Mani Kumari 2 1,2 Department of ECE, GVPCEOW,Visakhapatnam. Abstract The increasing growth of sub-micron

More information

A New Low Energy BIST Using A Statistical Code

A New Low Energy BIST Using A Statistical Code A New Low Energy BIST Using A Statistical Code Sunghoon Chun, Taejin Kim and Sungho Kang Department of Electrical and Electronic Engineering Yonsei University 134 Shinchon-dong Seodaemoon-gu, Seoul, Korea

More information

Achieving High Encoding Efficiency With Partial Dynamic LFSR Reseeding

Achieving High Encoding Efficiency With Partial Dynamic LFSR Reseeding Achieving High Encoding Efficiency With Partial Dynamic LFSR Reseeding C. V. KRISHNA, ABHIJIT JAS, and NUR A. TOUBA University of Texas, Austin Previous forms of LFSR reseeding have been static (i.e.,

More information

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL Random Access Scan Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL ramamve@auburn.edu Term Paper for ELEC 7250 (Spring 2005) Abstract: Random Access

More information

VHDL Implementation of Logic BIST (Built In Self Test) Architecture for Multiplier Circuit for High Test Coverage in VLSI Chips

VHDL Implementation of Logic BIST (Built In Self Test) Architecture for Multiplier Circuit for High Test Coverage in VLSI Chips VHDL Implementation of Logic BIST (Built In Self Test) Architecture for Multiplier Circuit for High Test Coverage in VLSI Chips Pushpraj Singh Tanwar, Priyanka Shrivastava Assistant professor, Dept. of

More information

Czech Technical University in Prague Faculty of Information Technology Department of Digital Design

Czech Technical University in Prague Faculty of Information Technology Department of Digital Design Czech Technical University in Prague Faculty of Information Technology Department of Digital Design Digital Circuits Testing Based on Pattern Overlapping and Broadcasting by Ing. Martin Chloupek A dissertation

More information

Power Problems in VLSI Circuit Testing

Power Problems in VLSI Circuit Testing Power Problems in VLSI Circuit Testing Farhana Rashid and Vishwani D. Agrawal Auburn University Department of Electrical and Computer Engineering 200 Broun Hall, Auburn, AL 36849 USA fzr0001@tigermail.auburn.edu,

More information

CMOS Testing-2. Design for testability (DFT) Design and Test Flow: Old View Test was merely an afterthought. Specification. Design errors.

CMOS Testing-2. Design for testability (DFT) Design and Test Flow: Old View Test was merely an afterthought. Specification. Design errors. Design and test CMOS Testing- Design for testability (DFT) Scan design Built-in self-test IDDQ testing ECE 261 Krish Chakrabarty 1 Design and Test Flow: Old View Test was merely an afterthought Specification

More information

A New Approach to Design Fault Coverage Circuit with Efficient Hardware Utilization for Testing Applications

A New Approach to Design Fault Coverage Circuit with Efficient Hardware Utilization for Testing Applications A New Approach to Design Fault Coverage Circuit with Efficient Hardware Utilization for Testing Applications S. Krishna Chaitanya Department of Electronics & Communication Engineering, Hyderabad Institute

More information

VLSI Design Verification and Test BIST II CMPE 646 Space Compaction Multiple Outputs We need to treat the general case of a k-output circuit.

VLSI Design Verification and Test BIST II CMPE 646 Space Compaction Multiple Outputs We need to treat the general case of a k-output circuit. Space Compaction Multiple Outputs We need to treat the general case of a k-output circuit. Test Set L m CUT k LFSR There are several possibilities: Multiplex the k outputs of the CUT. M 1 P(X)=X 4 +X+1

More information

Design for test methods to reduce test set size

Design for test methods to reduce test set size University of Iowa Iowa Research Online Theses and Dissertations Summer 2018 Design for test methods to reduce test set size Yingdi Liu University of Iowa Copyright 2018 Yingdi Liu This dissertation is

More information

Test Compression for Circuits with Multiple Scan Chains

Test Compression for Circuits with Multiple Scan Chains Test Compression for Circuits with Multiple Scan Chains Ondřej Novák, Jiří Jeníček, Martin Rozkovec Institute of Information Technologies and Electronics Technical University in Liberec Liberec, Czech

More information

Scan-shift Power Reduction Based on Scan Partitioning and Q-D Connection

Scan-shift Power Reduction Based on Scan Partitioning and Q-D Connection Scan-shift Power Reduction Based on Scan Partitioning and Q-D Connection Tiebin Wu, Li Zhou and Hengzhu Liu College of Computer, National University of Defense Technology Changsha, China e-mails: {tiebinwu@126.com,

More information

State Skip LFSRs: Bridging the Gap between Test Data Compression and Test Set Embedding for IP Cores *

State Skip LFSRs: Bridging the Gap between Test Data Compression and Test Set Embedding for IP Cores * LFSRs: Bridging the Gap between Test Data Compression and Test Set Embedding for IP Cores * V. Tenentes, X. Kavousianos and E. Kalligeros 2 Computer Science Department, University of Ioannina, Greece 2

More information

Research Article Ring Counter Based ATPG for Low Transition Test Pattern Generation

Research Article Ring Counter Based ATPG for Low Transition Test Pattern Generation e Scientific World Journal Volume 205, Article ID 72965, 6 pages http://dx.doi.org/0.55/205/72965 Research Article Ring Counter Based ATPG for Low Transition Test Pattern Generation V. M. Thoulath Begam

More information

Implementation of BIST Test Generation Scheme based on Single and Programmable Twisted Ring Counters

Implementation of BIST Test Generation Scheme based on Single and Programmable Twisted Ring Counters IOSR Journal of Mechanical and Civil Engineering (IOSR-JMCE) e-issn: 2278-1684, p-issn: 2320-334X Implementation of BIST Test Generation Scheme based on Single and Programmable Twisted Ring Counters N.Dilip

More information

Testing Digital Systems II

Testing Digital Systems II Testing Digital Systems II Lecture 5: Built-in Self Test (I) Instructor: M. Tahoori Copyright 2010, M. Tahoori TDS II: Lecture 5 1 Outline Introduction (Lecture 5) Test Pattern Generation (Lecture 5) Pseudo-Random

More information

Based on slides/material by. Topic 14. Testing. Testing. Logic Verification. Recommended Reading:

Based on slides/material by. Topic 14. Testing. Testing. Logic Verification. Recommended Reading: Based on slides/material by Topic 4 Testing Peter Y. K. Cheung Department of Electrical & Electronic Engineering Imperial College London!! K. Masselos http://cas.ee.ic.ac.uk/~kostas!! J. Rabaey http://bwrc.eecs.berkeley.edu/classes/icbook/instructors.html

More information

March Test Compression Technique on Low Power Programmable Pseudo Random Test Pattern Generator

March Test Compression Technique on Low Power Programmable Pseudo Random Test Pattern Generator International Journal of Computational Intelligence Research ISSN 0973-1873 Volume 13, Number 6 (2017), pp. 1493-1498 Research India Publications http://www.ripublication.com March Test Compression Technique

More information

Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction

Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction Low Illinois Scan Architecture for Simultaneous and Test Data Volume Anshuman Chandra, Felix Ng and Rohit Kapur Synopsys, Inc., 7 E. Middlefield Rd., Mountain View, CA Abstract We present Low Illinois

More information

HIGHER circuit densities and ever-increasing design

HIGHER circuit densities and ever-increasing design IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 23, NO. 9, SEPTEMBER 2004 1289 Test Set Embedding for Deterministic BIST Using a Reconfigurable Interconnection Network

More information

[Krishna*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785

[Krishna*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY DESIGN AND IMPLEMENTATION OF BIST TECHNIQUE IN UART SERIAL COMMUNICATION M.Hari Krishna*, P.Pavan Kumar * Electronics and Communication

More information

Strategies for Efficient and Effective Scan Delay Testing. Chao Han

Strategies for Efficient and Effective Scan Delay Testing. Chao Han Strategies for Efficient and Effective Scan Delay Testing by Chao Han A thesis submitted to the Graduate Faculty of Auburn University in partial fulfillment of the requirements for the Degree of Master

More information

FOR A DISSERTATION SUBMITTED TO THE DEPARTMENT OF ELECTRICAL ENGINEERING AND THE COMMITTEE ON GRADUATE STUDIES OF STANFORD UNIVERSITY

FOR A DISSERTATION SUBMITTED TO THE DEPARTMENT OF ELECTRICAL ENGINEERING AND THE COMMITTEE ON GRADUATE STUDIES OF STANFORD UNIVERSITY DETERMINISTIC BUILT-IN SELF TEST FOR DIGITAL CIRCUITS A DISSERTATION SUBMITTED TO THE DEPARTMENT OF ELECTRICAL ENGINEERING AND THE COMMITTEE ON GRADUATE STUDIES OF STANFORD UNIVERSITY IN PARTIAL FULFILLMENT

More information

Scan. This is a sample of the first 15 pages of the Scan chapter.

Scan. This is a sample of the first 15 pages of the Scan chapter. Scan This is a sample of the first 15 pages of the Scan chapter. Note: The book is NOT Pinted in color. Objectives: This section provides: An overview of Scan An introduction to Test Sequences and Test

More information

A Literature Review and Over View of Built in Self Testing in VLSI

A Literature Review and Over View of Built in Self Testing in VLSI Volume-5, Issue-4, August-2015 International Journal of Engineering and Management Research Page Number: 390-394 A Literature Review and Over View of Built in Self Testing in VLSI Jalpa Joshi 1, Prof.

More information

Fault Detection And Correction Using MLD For Memory Applications

Fault Detection And Correction Using MLD For Memory Applications Fault Detection And Correction Using MLD For Memory Applications Jayasanthi Sambbandam & G. Jose ECE Dept. Easwari Engineering College, Ramapuram E-mail : shanthisindia@yahoo.com & josejeyamani@gmail.com

More information

Survey of low power testing of VLSI circuits

Survey of low power testing of VLSI circuits Science Journal of Circuits, Systems and Signal Processing 2013; 2(2) : 67-74 Published online May 20, 2013 (http://www.sciencepublishinggroup.com/j/cssp) doi: 10.11648/j.cssp.20130202.15 Survey of low

More information

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits N.Brindha, A.Kaleel Rahuman ABSTRACT: Auto scan, a design for testability (DFT) technique for synchronous sequential circuits.

More information

Controlled Transition Density Based Power Constrained Scan-BIST with Reduced Test Time. Farhana Rashid

Controlled Transition Density Based Power Constrained Scan-BIST with Reduced Test Time. Farhana Rashid Controlled Transition Density Based Power Constrained Scan-BIST with Reduced Test Time by Farhana Rashid A thesis submitted to the Graduate Faculty of Auburn University in partial fulfillment of the requirements

More information

TEST PATTERN GENERATION USING PSEUDORANDOM BIST

TEST PATTERN GENERATION USING PSEUDORANDOM BIST TEST PATTERN GENERATION USING PSEUDORANDOM BIST GaneshBabu.J 1, Radhika.P 2 PG Student [VLSI], Dept. of ECE, SRM University, Chennai, Tamilnadu, India 1 Assistant Professor [O.G], Dept. of ECE, SRM University,

More information

Instructions. Final Exam CPSC/ELEN 680 December 12, Name: UIN:

Instructions. Final Exam CPSC/ELEN 680 December 12, Name: UIN: Final Exam CPSC/ELEN 680 December 12, 2005 Name: UIN: Instructions This exam is closed book. Provide brief but complete answers to the following questions in the space provided, using figures as necessary.

More information

Design and Implementation of Uart with Bist for Low Power Dissipation Using Lp-Tpg

Design and Implementation of Uart with Bist for Low Power Dissipation Using Lp-Tpg IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 6, Issue 3, Ver. II (May. -Jun. 2016), PP 26-31 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Design and Implementation of

More information

BUILT-IN SELF-TEST BASED ON TRANSPARENT PSEUDORANDOM TEST PATTERN GENERATION. Karpagam College of Engineering,coimbatore.

BUILT-IN SELF-TEST BASED ON TRANSPARENT PSEUDORANDOM TEST PATTERN GENERATION. Karpagam College of Engineering,coimbatore. Volume 118 No. 20 2018, 505-509 ISSN: 1311-8080 (printed version); ISSN: 1314-3395 (on-line version) url: http://www.ijpam.eu ijpam.eu BUILT-IN SELF-TEST BASED ON TRANSPARENT PSEUDORANDOM TEST PATTERN

More information

CPE 628 Chapter 5 Logic Built-In Self-Test. Dr. Rhonda Kay Gaede UAH. UAH Chapter Introduction

CPE 628 Chapter 5 Logic Built-In Self-Test. Dr. Rhonda Kay Gaede UAH. UAH Chapter Introduction Chapter 5 Logic Built-In Self-Test Dr. Rhonda Kay Gaede UAH 1 5.1 Introduction Introduce the basic concepts of BIST BIST Rules Test pattern generation and output techniques Fault Coverage Various BIST

More information

Powerful Software Tools and Methods to Accelerate Test Program Development A Test Systems Strategies, Inc. (TSSI) White Paper.

Powerful Software Tools and Methods to Accelerate Test Program Development A Test Systems Strategies, Inc. (TSSI) White Paper. Powerful Software Tools and Methods to Accelerate Test Program Development A Test Systems Strategies, Inc. (TSSI) White Paper Abstract Test costs have now risen to as much as 50 percent of the total manufacturing

More information

Deterministic BIST Based on a Reconfigurable Interconnection Network

Deterministic BIST Based on a Reconfigurable Interconnection Network Deterministic BIST Based on a Reconfigurable Interconnection Network Lei Li and Krishnendu Chakrabarty Department of Electrical and Computer Engineering Duke University, Durham, NC 27708 {ll, krish}@ee.duke.edu

More information

Testing Digital Systems II

Testing Digital Systems II Testing Digital Systems II Lecture 7: Built-in Self Test (III) Instructor: M. Tahoori Copyright 206, M. Tahoori TDS II: Lecture 7 BIST Architectures Copyright 206, M. Tahoori TDS II: Lecture 7 2 Lecture

More information

Fpga Implementation of Low Complexity Test Circuits Using Shift Registers

Fpga Implementation of Low Complexity Test Circuits Using Shift Registers Fpga Implementation of Low Complexity Test Circuits Using Shift Registers Mohammed Yasir, Shameer.S (M.Tech in Applied Electronics,MG University College Of Engineering,Muttom,Kerala,India) (M.Tech in Applied

More information

At-speed testing made easy

At-speed testing made easy At-speed testing made easy By Bruce Swanson and Michelle Lange, EEdesign.com Jun 03, 2004 (5:00 PM EDT) URL: http://www.eedesign.com/article/showarticle.jhtml?articleid=21401421 Today's chip designs are

More information

Logic Design for Single On-Chip Test Clock Generation for N Clock Domain - Impact on SOC Area and Test Quality

Logic Design for Single On-Chip Test Clock Generation for N Clock Domain - Impact on SOC Area and Test Quality and Communication Technology (IJRECT 6) Vol. 3, Issue 3 July - Sept. 6 ISSN : 38-965 (Online) ISSN : 39-33 (Print) Logic Design for Single On-Chip Test Clock Generation for N Clock Domain - Impact on SOC

More information

Design for Testability

Design for Testability TDTS 01 Lecture 9 Design for Testability Zebo Peng Embedded Systems Laboratory IDA, Linköping University Lecture 9 The test problems Fault modeling Design for testability techniques Zebo Peng, IDA, LiTH

More information

Low Power Estimation on Test Compression Technique for SoC based Design

Low Power Estimation on Test Compression Technique for SoC based Design Indian Journal of Science and Technology, Vol 8(4), DOI: 0.7485/ijst/205/v8i4/6848, July 205 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Low Estimation on Test Compression Technique for SoC based

More information

Clock Gate Test Points

Clock Gate Test Points Clock Gate Test Points Narendra Devta-Prasanna and Arun Gunda LSI Corporation 5 McCarthy Blvd. Milpitas CA 9535, USA {narendra.devta-prasanna, arun.gunda}@lsi.com Abstract Clock gating is widely used in

More information

TEST PATTERNS COMPRESSION TECHNIQUES BASED ON SAT SOLVING FOR SCAN-BASED DIGITAL CIRCUITS

TEST PATTERNS COMPRESSION TECHNIQUES BASED ON SAT SOLVING FOR SCAN-BASED DIGITAL CIRCUITS TEST PATTERNS COMPRESSION TECHNIQUES BASED ON SAT SOLVING FOR SCAN-BASED DIGITAL CIRCUITS Jiří Balcárek Informatics and Computer Science, 1-st class, full-time study Supervisor: Ing. Jan Schmidt, Ph.D.,

More information

Nodari S. Sitchinava

Nodari S. Sitchinava Dynamic Scan Chains A Novel Architecture to Lower the Cost of VLSI Test by Nodari S. Sitchinava Submitted to the Department of Electrical Engineering and Computer Science in partial fulfillment of the

More information

Testing of Cryptographic Hardware

Testing of Cryptographic Hardware Testing of Cryptographic Hardware Presented by: Debdeep Mukhopadhyay Dept of Computer Science and Engineering, Indian Institute of Technology Madras Motivation Behind the Work VLSI of Cryptosystems have

More information

Deterministic Logic BIST for Transition Fault Testing 1

Deterministic Logic BIST for Transition Fault Testing 1 Deterministic Logic BIST for Transition Fault Testing 1 Abstract Valentin Gherman CEA, LIST Boîte Courrier 65 Gif-sur-Yvette F-91191 France valentin.gherman@cea.fr Hans-Joachim Wunderlich Universitaet

More information

Design and Implementation of Low Power Linear Feedback Shift Segisters for Vlsi Application

Design and Implementation of Low Power Linear Feedback Shift Segisters for Vlsi Application 24 Design and Implementation of Low Power Linear Feedback Shift Segisters for Vlsi Application 1. A.V.PRABU 2.T.APPA RAO 3. TUSHAR KANT PANDA 4.PADMINI MISHRA 5. L.SIVA PRASAD 6.R.DHAMODHARAN ABSTRACT:

More information

Efficient Test Pattern Generator for BIST using Multiple Single Input Change Vectors

Efficient Test Pattern Generator for BIST using Multiple Single Input Change Vectors ISSN : 2347-8446 (Online) International Journal of Advanced Research in Efficient Test Pattern Generator for BIST using Multiple Single Input Change Vectors I D. Punitha, II S. Ram Kumar I Final Year,

More information

Logic BIST Architecture Using Staggered Launch-on-Shift for Testing Designs Containing Asynchronous Clock Domains

Logic BIST Architecture Using Staggered Launch-on-Shift for Testing Designs Containing Asynchronous Clock Domains 2010 25th International Symposium on Defect and Fault Tolerance in VLSI Systems Logic BIST Architecture Using Staggered Launch-on-Shift for Testing Designs Containing Asynchronous Clock Domains Shianling

More information

Doctor of Philosophy

Doctor of Philosophy LOW POWER HIGH FAULT COVERAGE TEST TECHNIQUES FOR D IGITAL VLSI CIRCUITS By Abdallatif S. Abuissa A thesis submitted to The University of Birmingham for the Degree of Doctor of Philosophy School of Electronic,

More information

GLFSR-Based Test Processor Employing Mixed-Mode Approach in IC Testing

GLFSR-Based Test Processor Employing Mixed-Mode Approach in IC Testing ULAB JOURNAL OF SCIENCE AND ENGINEERING VOL. 3, NO. 1, NOVEMBER 2012 (ISSN: 2079-4398) 30 GLFSR-Based Test Processor Employing Mixed-Mode Approach in IC Testing Mohammod Akbar Kabir, Md. Nasim Adnan, Lutful

More information

nmos transistor Basics of VLSI Design and Test Solution: CMOS pmos transistor CMOS Inverter First-Order DC Analysis CMOS Inverter: Transient Response

nmos transistor Basics of VLSI Design and Test Solution: CMOS pmos transistor CMOS Inverter First-Order DC Analysis CMOS Inverter: Transient Response nmos transistor asics of VLSI Design and Test If the gate is high, the switch is on If the gate is low, the switch is off Mohammad Tehranipoor Drain ECE495/695: Introduction to Hardware Security & Trust

More information

ECE 715 System on Chip Design and Test. Lecture 22

ECE 715 System on Chip Design and Test. Lecture 22 ECE 75 System on Chip Design and Test Lecture 22 Response Compaction Severe amounts of data in CUT response to LFSR patterns example: Generate 5 million random patterns CUT has 2 outputs Leads to: 5 million

More information

ISSN (c) MIT Publications

ISSN (c) MIT Publications MIT International Journal of Electronics and Communication Engineering, Vol. 2, No. 2, Aug. 2012, pp. (83-88) 83 BIST- Built in Self Test A Testing Technique Alpana Singh MIT, Moradabad, UP, INDIA Email:

More information

UNIT IV CMOS TESTING. EC2354_Unit IV 1

UNIT IV CMOS TESTING. EC2354_Unit IV 1 UNIT IV CMOS TESTING EC2354_Unit IV 1 Outline Testing Logic Verification Silicon Debug Manufacturing Test Fault Models Observability and Controllability Design for Test Scan BIST Boundary Scan EC2354_Unit

More information

Low Transition Test Pattern Generator Architecture for Built-in-Self-Test

Low Transition Test Pattern Generator Architecture for Built-in-Self-Test American Journal of Applied Sciences 9 (9): 1396-1406, 2012 ISSN 1546-9239 2012 Science Publication Low Transition Test Pattern Generator Architecture for Built-in-Self-Test 1 Sakthivel, P., 2 A. NirmalKumar

More information

DESIGN OF RANDOM TESTING CIRCUIT BASED ON LFSR FOR THE EXTERNAL MEMORY INTERFACE

DESIGN OF RANDOM TESTING CIRCUIT BASED ON LFSR FOR THE EXTERNAL MEMORY INTERFACE DESIGN OF RANDOM TESTING CIRCUIT BASED ON LFSR FOR THE EXTERNAL MEMORY INTERFACE Mohammed Gazi.J 1, Abdul Mubeen Mohammed 2 1 M.Tech. 2 BE, MS(IT), AMISTE ABSTRACT In the design of a SOC system, random

More information

Further Details Contact: A. Vinay , , #301, 303 & 304,3rdFloor, AVR Buildings, Opp to SV Music College, Balaji

Further Details Contact: A. Vinay , , #301, 303 & 304,3rdFloor, AVR Buildings, Opp to SV Music College, Balaji S.NO 2018-2019 B.TECH VLSI IEEE TITLES TITLES FRONTEND 1. Approximate Quaternary Addition with the Fast Carry Chains of FPGAs 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. 16. 17. 18. 19. A Low-Power

More information

A Novel Method for UVM & BIST Using Low Power Test Pattern Generator

A Novel Method for UVM & BIST Using Low Power Test Pattern Generator A Novel Method for UVM & BIST Using Low Power Test Pattern Generator Boggarapu Kantha Rao 1 ; Ch.swathi 2 & Dr. Murali Malijeddi 3 1 HOD &Assoc Prof, Medha Institute of Science and Technology for Women

More information

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Final Examination CLOSED BOOK

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Final Examination CLOSED BOOK Department of Electrical and Computer Engineering University of Wisconsin Madison Fall 2014-2015 Final Examination CLOSED BOOK Kewal K. Saluja Date: December 14, 2014 Place: Room 3418 Engineering Hall

More information

ISSN:

ISSN: 191 Low Power Test Pattern Generator Using LFSR and Single Input Changing Generator (SICG) for BIST Applications A K MOHANTY 1, B P SAHU 2, S S MAHATO 3 Department of Electronics and Communication Engineering,

More information

Lecture 23 Design for Testability (DFT): Full-Scan (chapter14)

Lecture 23 Design for Testability (DFT): Full-Scan (chapter14) Lecture 23 Design for Testability (DFT): Full-Scan (chapter14) Definition Ad-hoc methods Scan design Design rules Scan register Scan flip-flops Scan test sequences Overheads Scan design system Summary

More information

Seed Encoding with LFSRs and Cellular Automata

Seed Encoding with LFSRs and Cellular Automata eed Encoding with LFs and Cellular Automata Ahmad A. Al-Yamani and Edward J. McCluskey Center for eliable Computing tanford University, tanford, CA {alyamani, ejm}@crc.stanford.edu Abstract eseeding is

More information

Implementation of Scan Insertion and Compression for 28nm design Technology

Implementation of Scan Insertion and Compression for 28nm design Technology Implementation of Scan Insertion and Compression for 28nm design Technology 1 Mohan PVS, 2 Rajanna K.M 1 PG Student, Department of ECE, Dr. Ambedkar Institute of Technology, Bengaluru, India 2 Associate

More information

Efficient Test Pattern Generation Scheme with modified seed circuit.

Efficient Test Pattern Generation Scheme with modified seed circuit. Efficient Test Pattern Generation Scheme with modified seed circuit. PAYEL MUKHERJEE, Mrs. N.SARASWATHI Abstract This paper proposes a modified test pattern generator which produces single bit change vectors

More information

LFSR Counter Implementation in CMOS VLSI

LFSR Counter Implementation in CMOS VLSI LFSR Counter Implementation in CMOS VLSI Doshi N. A., Dhobale S. B., and Kakade S. R. Abstract As chip manufacturing technology is suddenly on the threshold of major evaluation, which shrinks chip in size

More information

Test Data Compression for System-on-a-Chip Using Golomb Codes 1

Test Data Compression for System-on-a-Chip Using Golomb Codes 1 Test Data Compression for System-on-a-Chip Using Golomb Codes 1 Anshuman Chandra and Krishnendu Chakrabarty Department of Electrical and Computer Engineering Duke University Durham, NC 27708 {achandra,

More information

Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test

Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test Mark McDermott Electrical and Computer Engineering The University of Texas at Austin Agenda Introduction to testing Logical

More information