ASTRIX ASIC Microelectronics Presentation Days

Size: px
Start display at page:

Download "ASTRIX ASIC Microelectronics Presentation Days"

Transcription

1 ASTRIX ASIC Microelectronics Presentation Days ESTEC, Noordwijk, 4 th and 5 th February 2004 Matthieu Dollon matthieu.dollon@astrium.eads.net Franck Koebel franck.koebel@astrium.eads.net Page 1 - ESA 4 th /5 th February 2004

2 Content 1. ASTRIX Product Description 2. ASTRIX ASIC Description Context and development plan Technical definition 3. ASTRIX Validation environment Demonstrator board (FEM) Xilinx board 4. Conclusion Page 2 - ESA 4 th /5 th February 2004

3 ASTRIX Product description The astrix product family is developed under ESA and CNES fundings, through Planck, Pleiades and Alphabus programs. Astrium willingness is to develop 3 astrix products able to fit LEO observation, LEO science and GEO Telecom applications, and thus, sharing the same core component: the Astrix ASIC. A dedicated «astrix product applicable documentation» has been set up for the astrix development Page 3 - ESA 4 th /5 th February 2004

4 ASTRIX Inertial Measurement Unit Each Astrix IMU is the assembly of independent gyroscopic channels Each Astrix channel consists in: Gyro Optical Harness (GOH) Fog Electronics Module (FEM): Inertial processing Optical source Power interface TM/TC interface Sagnac Interferometer Assembly (SIA) = Inertial Sensor One astrix gyroscopic channel Page 4 - ESA 4 th /5 th February 2004

5 ASTRIX Channel Functional Module Optical source Optical coupler SIA COI Optical fiber Optical detector Detection Electronic Module Processing functions Modulation Module DC/DC Converter ASIC Servitude functions Power bus Interface 1553 bus Interface Serial data Interface Stimuli Interface FEM Power buses N & R Ext synchro N & R 1553 buses N & R Serial data buses N & R Stimuli N Page 5 - ESA 4 th /5 th February 2004

6 ASTRIX ASIC Introduction Astrium and IXSEA realize the ASTRIX ASIC: ASIC for Fiber Optical Gyrometer (FOG) application Two main entities are designed: Control part corresponds to the management of servitude functions Inertial processing part corresponds to the management of gyrometer functions Page 6 - ESA 4 th /5 th February 2004

7 ASIC Technology The MG2RT technology from ATMEL is the target chosen for the ASIC The Nantes factory is the baseline. MG2RT265E is the chosen matrix, 55% gates used. ASIC packaging: MQFPF256, 256 pins 5V single voltage Page 7 - ESA 4 th /5 th February 2004

8 Context of the ASIC The process followed to design the ASIC is adapted from the official ESA ASIC development since: Two companies are involved There are confidentiality issues that restrict the content of IXSEA reports Development plan takes this into account Page 8 - ESA 4 th /5 th February 2004

9 Development plan (1) ASTRIUM IXSEA A ASIC VHDL Testbench Servitude VHDL testbench No Inertial processing test ASIC VHDL model Servitude VHDL model without In. Proc. In. Proc. as empty box Inertial processing VHDL model Inertial Processing Testbench No servitude testbench Inertial processing and optic model testbench Servitude VHDL RTL simulation In. Proc. VHDL RTL simulation FPGA synthesis B ASIC VHDL Testbench Servitude VHDL testbench Reference vectors for In. Proc. ASIC VHDL model Servitude VHDL model without In. Proc. In. Proc. as compiled RTL model 1 RTL compilation In. Proc. as Xilinx netlist In. Proc. FPGA Verification ASIC VHDL RTL simulation Step A: Each company realizes a RTL verification of its block. IXSEA validates its design on a dedicated board. Step B: ASTRIUM starts the verification of the whole ASIC. Page 9 - ESA 4 th /5 th February 2004

10 Development plan (2) ASTRIUM IXSEA Step C: Each company realizes a validation of its block with the whole ASIC as Xilinx netlist on 4 DM boards. C ASIC VHDL Testbench Servitude VHDL testbench Reference vectors for In. Proc. VHDL gate FPGA simulation ASIC VHDL model Servitude VHDL model without In. Proc. In. Proc. as FPGA netlist FPGA synthesis ASTRIX ASIC as Xilinx netlist 2 FPGA verification Inertial processing VHDL model FPGA synthesis Step D: ASTRIUM realizes the ASIC gate level design. ASIC VHDL model Servitude VHDL model without In. Proc. In. Proc. as VHDL model 3 D ASIC VHDL Testbench Servitude VHDL testbench Reference vectors for In. Proc. ASIC synthesis ASTRIX ASIC as ATMEL netlist Page 10 - ESA 4 th /5 th February 2004 VHDL gate ASIC simulation

11 ASIC functional description Control section shall interface with: Analog TM External synchronization links 1553 interface Serial interface Stimuli link 1553 and gyro clock Configuration Inertial processing section: Fog loop Anti aliasing filters Digital source power control Interface with: Detection module Modulation module Source module Page 11 - ESA 4 th /5 th February 2004

12 ASIC Control block Control section shall interface with: Analog TM periodically refreshed External synchronization link (redundant) Stimuli link: UART in reception mode only Data links: 1553 redundant buses with TM/TC specific characterization Two redundant UART at Kbaud Configuration Dating 1553 and gyro clock Page 12 - ESA 4 th /5 th February 2004

13 ASIC Inertial processing block Fiber optical gyrometer loop Several configurations Several frequencies possible Anti aliasing filtering Several configurations Digital source power control Direct command Reference point driven Status information BoardTest for debugging and production tests Page 13 - ESA 4 th /5 th February 2004

14 ASTRIX Validation environment PC Communication and test links on ASTRIX board MIL-1553B RS-422 D2a D2b Power Supply for ASTRIX(TM) G = D1 ASTRIX (TM) - Xilinx system ASTRIX Xilinx metallic socket Optical system (by IXSEA) D9 Parallel JTAG/Serial Programming Cable for Xilinx board (by XILINX or 3rd party) D5 PC Programming Software external synchronize signal generator TTL-RS422 converter D6 D3 D4 Debugging cables and switches on HE10-20 on Xilinx board (by IXSEA) Measurement equippement (scope, multimeter or analyser) on connectors HE10-20 on Xilinx board D8 D7 Page 14 - ESA 4 th /5 th February 2004

15 Page 15 - ESA 4 th /5 th February 2004 ASTRIX Demonstrator board

16 ASTRIX Xilinx board (1) ASIC FOOTPRINT QFP 256 Adaptor QFP256 to PINS FLEX 256 wires from ASIC I/Os 5Volt 5Volt from ASIC footprint external power supply for test purposes Regulators Optical power indicators 5V 3.3V Astrix/FOG board Resistors Buffers 2.5V RT address configuration by 1553 connector Oscillator 3.3V 16Mhz I/Os 3.3Volt connectors HE10-20 to observe dynamic signals PULL DOWNs for SODATA while FPGA-programming Oscillator 5V GYRO 16 Data 2 Clocks Xilinx board DIP Switches for ASTRIX configuration Clock 3.3/5 Volt I/Os 3.3Volt PROMs or JTAG Direct serial Programming Programming 64 I/Os (3.3Volt) on HE10-20 connectors for DEBUG purposes 1553 RT address test points XCV1000 BGA560-I Reserved I/Os 3.3Volt PRG Mode FPGA Page 16 - ESA 4 th /5 th February 2004

17 Page 17 - ESA 4 th /5 th February 2004 ASTRIX Xilinx board (2)

18 Conclusion Architectural design finished First integration at simulation level successful ASTRIX and Xilinx boards manufactured Integration of the two entities at board level is in progress this week ASIC VHDL will be verified on these boards Page 18 - ESA 4 th /5 th February 2004

CHAPTER 3 EXPERIMENTAL SETUP

CHAPTER 3 EXPERIMENTAL SETUP CHAPTER 3 EXPERIMENTAL SETUP In this project, the experimental setup comprised of both hardware and software. Hardware components comprised of Altera Education Kit, capacitor and speaker. While software

More information

Memec Spartan-II LC User s Guide

Memec Spartan-II LC User s Guide Memec LC User s Guide July 21, 2003 Version 1.0 1 Table of Contents Overview... 4 LC Development Board... 4 LC Development Board Block Diagram... 6 Device... 6 Clock Generation... 7 User Interfaces...

More information

Authentic Time Hardware Co-simulation of Edge Discovery for Video Processing System

Authentic Time Hardware Co-simulation of Edge Discovery for Video Processing System Authentic Time Hardware Co-simulation of Edge Discovery for Video Processing System R. NARESH M. Tech Scholar, Dept. of ECE R. SHIVAJI Assistant Professor, Dept. of ECE PRAKASH J. PATIL Head of Dept.ECE,

More information

Digital Blocks Semiconductor IP

Digital Blocks Semiconductor IP Digital Blocks Semiconductor IP DB1825 Color Space Converter & Chroma Resampler General Description The Digital Blocks DB1825 Color Space Converter & Chroma Resampler Verilog IP Core transforms 4:4:4 sampled

More information

Comparing JTAG, SPI, and I2C

Comparing JTAG, SPI, and I2C Comparing JTAG, SPI, and I2C Application by Russell Hanabusa 1. Introduction This paper discusses three popular serial buses: JTAG, SPI, and I2C. A typical electronic product today will have one or more

More information

EXOSTIV TM. Frédéric Leens, CEO

EXOSTIV TM. Frédéric Leens, CEO EXOSTIV TM Frédéric Leens, CEO A simple case: a video processing platform Headers & controls per frame : 1.024 bits 2.048 pixels 1.024 lines Pixels per frame: 2 21 Pixel encoding : 36 bit Frame rate: 24

More information

SignalTap Plus System Analyzer

SignalTap Plus System Analyzer SignalTap Plus System Analyzer June 2000, ver. 1 Data Sheet Features Simultaneous internal programmable logic device (PLD) and external (board-level) logic analysis 32-channel external logic analyzer 166

More information

Logic Analysis Basics

Logic Analysis Basics Logic Analysis Basics September 27, 2006 presented by: Alex Dickson Copyright 2003 Agilent Technologies, Inc. Introduction If you have ever asked yourself these questions: What is a logic analyzer? What

More information

FPGA-BASED EDUCATIONAL LAB PLATFORM

FPGA-BASED EDUCATIONAL LAB PLATFORM FPGA-BASED EDUCATIONAL LAB PLATFORM Mircea Alexandru DABÂCAN, Clint COLE Mircea Dabâcan is with Technical University of Cluj-Napoca, Electronics and Telecommunications Faculty, Applied Electronics Department,

More information

Logic Analysis Basics

Logic Analysis Basics Logic Analysis Basics September 27, 2006 presented by: Alex Dickson Copyright 2003 Agilent Technologies, Inc. Introduction If you have ever asked yourself these questions: What is a logic analyzer? What

More information

EEM Digital Systems II

EEM Digital Systems II ANADOLU UNIVERSITY DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING EEM 334 - Digital Systems II LAB 3 FPGA HARDWARE IMPLEMENTATION Purpose In the first experiment, four bit adder design was prepared

More information

VHDL Design and Implementation of FPGA Based Logic Analyzer: Work in Progress

VHDL Design and Implementation of FPGA Based Logic Analyzer: Work in Progress VHDL Design and Implementation of FPGA Based Logic Analyzer: Work in Progress Nor Zaidi Haron Ayer Keroh +606-5552086 zaidi@utem.edu.my Masrullizam Mat Ibrahim Ayer Keroh +606-5552081 masrullizam@utem.edu.my

More information

RAPID SOC PROOF-OF-CONCEPT FOR ZERO COST JEFF MILLER, PRODUCT MARKETING AND STRATEGY, MENTOR GRAPHICS PHIL BURR, SENIOR PRODUCT MANAGER, ARM

RAPID SOC PROOF-OF-CONCEPT FOR ZERO COST JEFF MILLER, PRODUCT MARKETING AND STRATEGY, MENTOR GRAPHICS PHIL BURR, SENIOR PRODUCT MANAGER, ARM RAPID SOC PROOF-OF-CONCEPT FOR ZERO COST JEFF MILLER, PRODUCT MARKETING AND STRATEGY, MENTOR GRAPHICS PHIL BURR, SENIOR PRODUCT MANAGER, ARM A M S D E S I G N & V E R I F I C A T I O N W H I T E P A P

More information

A MISSILE INSTRUMENTATION ENCODER

A MISSILE INSTRUMENTATION ENCODER A MISSILE INSTRUMENTATION ENCODER Item Type text; Proceedings Authors CONN, RAYMOND; BREEDLOVE, PHILLIP Publisher International Foundation for Telemetering Journal International Telemetering Conference

More information

3. Configuration and Testing

3. Configuration and Testing 3. Configuration and Testing C51003-1.4 IEEE Std. 1149.1 (JTAG) Boundary Scan Support All Cyclone devices provide JTAG BST circuitry that complies with the IEEE Std. 1149.1a-1990 specification. JTAG boundary-scan

More information

18 Nov 2015 Testing and Programming PCBA s. 1 JTAG Technologies

18 Nov 2015 Testing and Programming PCBA s. 1 JTAG Technologies 8 Nov 25 Testing and Programming PCBA s JTAG Technologies The importance of Testing Don t ship bad products to your customers, find problems before they do. DOA s (Death On Arrival) lead to huge costs

More information

Programmable Logic Design I

Programmable Logic Design I Programmable Logic Design I Introduction In labs 11 and 12 you built simple logic circuits on breadboards using TTL logic circuits on 7400 series chips. This process is simple and easy for small circuits.

More information

Saving time & money with JTAG

Saving time & money with JTAG Saving time & money with JTAG AltiumLive 2017: ANNUAL PCB DESIGN SUMMIT Simon Payne CEO, XJTAG Ltd. Saving time and money with JTAG JTAG / IEEE 1149.X Take-away points Get JTAG right from the start Use

More information

Major Differences Between the DT9847 Series Modules

Major Differences Between the DT9847 Series Modules DT9847 Series Dynamic Signal Analyzer for USB With Low THD and Wide Dynamic Range The DT9847 Series are high-accuracy, dynamic signal acquisition modules designed for sound and vibration applications.

More information

DT9857E. Key Features: Dynamic Signal Analyzer for Sound and Vibration Analysis Expandable to 64 Channels

DT9857E. Key Features: Dynamic Signal Analyzer for Sound and Vibration Analysis Expandable to 64 Channels DT9857E Dynamic Signal Analyzer for Sound and Vibration Analysis Expandable to 64 Channels The DT9857E is a high accuracy dynamic signal acquisition module for noise, vibration, and acoustic measurements

More information

Laboratory 4. Figure 1: Serdes Transceiver

Laboratory 4. Figure 1: Serdes Transceiver Laboratory 4 The purpose of this laboratory exercise is to design a digital Serdes In the first part of the lab, you will design all the required subblocks for the digital Serdes and simulate them In part

More information

OF AN ADVANCED LUT METHODOLOGY BASED FIR FILTER DESIGN PROCESS

OF AN ADVANCED LUT METHODOLOGY BASED FIR FILTER DESIGN PROCESS IMPLEMENTATION OF AN ADVANCED LUT METHODOLOGY BASED FIR FILTER DESIGN PROCESS 1 G. Sowmya Bala 2 A. Rama Krishna 1 PG student, Dept. of ECM. K.L.University, Vaddeswaram, A.P, India, 2 Assistant Professor,

More information

GALILEO Timing Receiver

GALILEO Timing Receiver GALILEO Timing Receiver The Space Technology GALILEO Timing Receiver is a triple carrier single channel high tracking performances Navigation receiver, specialized for Time and Frequency transfer application.

More information

L12: Reconfigurable Logic Architectures

L12: Reconfigurable Logic Architectures L12: Reconfigurable Logic Architectures Acknowledgements: Materials in this lecture are courtesy of the following sources and are used with permission. Frank Honore Prof. Randy Katz (Unified Microelectronics

More information

Digital Blocks Semiconductor IP

Digital Blocks Semiconductor IP Digital Blocks Semiconductor IP General Description The Digital Blocks IP Core decodes an ITU-R BT.656 digital video uncompressed NTSC 720x486 (525/60 Video System) and PAL 720x576 (625/50 Video System)

More information

16 Dec Testing and Programming PCBA s. 1 JTAG Technologies

16 Dec Testing and Programming PCBA s. 1 JTAG Technologies 6 Dec 24 Testing and Programming PCBA s JTAG Technologies The importance of Testing Don t ship bad products to your customers, find problems before they do. DOA s (Death On Arrival) lead to huge costs

More information

FPGA Design. Part I - Hardware Components. Thomas Lenzi

FPGA Design. Part I - Hardware Components. Thomas Lenzi FPGA Design Part I - Hardware Components Thomas Lenzi Approach We believe that having knowledge of the hardware components that compose an FPGA allow for better firmware design. Being able to visualise

More information

Ilmenau, 9 Dec 2016 Testing and programming PCBA s. 1 JTAG Technologies

Ilmenau, 9 Dec 2016 Testing and programming PCBA s. 1 JTAG Technologies Ilmenau, 9 Dec 206 Testing and programming PCBA s JTAG Technologies The importance of Testing Don t ship bad products to your customers, find problems before they do. DOA s (Death On Arrival) lead to huge

More information

Modeling and Implementing Software-Defined Radio Communication Systems on FPGAs Puneet Kumar Senior Team Lead - SPC

Modeling and Implementing Software-Defined Radio Communication Systems on FPGAs Puneet Kumar Senior Team Lead - SPC Modeling and Implementing Software-Defined Radio Communication Systems on FPGAs Puneet Kumar Senior Team Lead - SPC 2012 The MathWorks, Inc. 1 Agenda Integrated Model-Based Design to Implement SDR on FPGA

More information

DMC550 Technical Reference

DMC550 Technical Reference DMC550 Technical Reference 2002 DSP Development Systems DMC550 Technical Reference 504815-0001 Rev. B September 2002 SPECTRUM DIGITAL, INC. 12502 Exchange Drive, Suite 440 Stafford, TX. 77477 Tel: 281.494.4505

More information

Using an IEEE Test Bus for Fault Diagnosis of Analog Parts of Electronic Embedded Systems. Zbigniew Czaja 1, Bogdan Bartosinski 2

Using an IEEE Test Bus for Fault Diagnosis of Analog Parts of Electronic Embedded Systems. Zbigniew Czaja 1, Bogdan Bartosinski 2 Using an IEEE1149.1 Test Bus for Fault Diagnosis of Analog Parts of Electronic Embedded Systems Zbigniew Czaja 1, Bogdan Bartosinski 2 1 Gdansk University of Technology, Faculty of Electronics, Telecommunications

More information

Using the XC9500/XL/XV JTAG Boundary Scan Interface

Using the XC9500/XL/XV JTAG Boundary Scan Interface Application Note: XC95/XL/XV Family XAPP69 (v3.) December, 22 R Using the XC95/XL/XV JTAG Boundary Scan Interface Summary This application note explains the XC95 /XL/XV Boundary Scan interface and demonstrates

More information

Risk Risk Title Severity (1-10) Probability (0-100%) I FPGA Area II Timing III Input Distortion IV Synchronization 9 60

Risk Risk Title Severity (1-10) Probability (0-100%) I FPGA Area II Timing III Input Distortion IV Synchronization 9 60 Project Planning Introduction In this section, the plans required for completing the project from start to finish are described. The risk analysis section of this project plan will describe the potential

More information

Digital Blocks Semiconductor IP

Digital Blocks Semiconductor IP Digital Blocks Semiconductor IP DB3 CCIR 656 Encoder General Description The Digital Blocks DB3 CCIR 656 Encoder IP Core encodes 4:2:2 Y CbCr component digital video with synchronization signals to conform

More information

Design and analysis of microcontroller system using AMBA- Lite bus

Design and analysis of microcontroller system using AMBA- Lite bus Design and analysis of microcontroller system using AMBA- Lite bus Wang Hang Suan 1,*, and Asral Bahari Jambek 1 1 School of Microelectronic Engineering, Universiti Malaysia Perlis, Perlis, Malaysia Abstract.

More information

On-board detection electronics in spanish optical satellite: INGENIO. Thales Alenia Space España

On-board detection electronics in spanish optical satellite: INGENIO. Thales Alenia Space España On-board detection electronics in spanish optical satellite: INGENIO 20 Years of Excellence in Space Spanish company founded in 1988, belonging to Thales Alenia Space, devoted to the competitive development

More information

MULTIDYNE INNOVATIONS IN TELEVISION TESTING & DISTRIBUTION DIGITAL VIDEO, AUDIO & DATA FIBER OPTIC MULTIPLEXER TRANSPORT SYSTEM

MULTIDYNE INNOVATIONS IN TELEVISION TESTING & DISTRIBUTION DIGITAL VIDEO, AUDIO & DATA FIBER OPTIC MULTIPLEXER TRANSPORT SYSTEM MULTIDYNE INNOVATIONS IN TELEVISION TESTING & DISTRIBUTION INSTRUCTION MANUAL DVM-1000 DIGITAL VIDEO, AUDIO & DATA FIBER OPTIC MULTIPLEXER TRANSPORT SYSTEM MULTIDYNE Electronics, Inc. Innovations in Television

More information

A Briefing on IEEE Standard Test Access Port And Boundary-Scan Architecture ( AKA JTAG )

A Briefing on IEEE Standard Test Access Port And Boundary-Scan Architecture ( AKA JTAG ) A Briefing on IEEE 1149.1 1990 Standard Test Access Port And Boundary-Scan Architecture ( AKA JTAG ) Summary With the advent of large Ball Grid Array (BGA) and fine pitch SMD semiconductor devices the

More information

Single Channel LVDS Tx

Single Channel LVDS Tx April 2013 Introduction Reference esign R1162 Low Voltage ifferential Signaling (LVS) is an electrical signaling system that can run at very high speeds over inexpensive twisted-pair copper cables. It

More information

Using the XSV Board Xchecker Interface

Using the XSV Board Xchecker Interface Using the XSV Board Xchecker Interface May 1, 2001 (Version 1.0) Application Note by D. Vanden Bout Summary This application note shows how to configure the XC9510 CPLD on the XSV Board to enable the programming

More information

7 Nov 2017 Testing and programming PCBA s

7 Nov 2017 Testing and programming PCBA s 7 Nov 207 Testing and programming PCBA s Rob Staals JTAG Technologies Email: robstaals@jtag.com JTAG Technologies The importance of Testing Don t ship bad products to your customers, find problems before

More information

AI-1616L-LPE. Features. High-precision Analog input board (Low Profile size) for PCI Express AI-1616L-LPE 1. Ver.1.02 Ver.1.01

AI-1616L-LPE. Features. High-precision Analog input board (Low Profile size) for PCI Express AI-1616L-LPE 1. Ver.1.02 Ver.1.01 High-precision Analog input board (Low Profile size) for PCI Express AI-1616L-LPE This product is a multi-function, PCI Express bus-compliant interface board that incorporates high-precision 16-bit analog

More information

Using SignalTap II in the Quartus II Software

Using SignalTap II in the Quartus II Software White Paper Using SignalTap II in the Quartus II Software Introduction The SignalTap II embedded logic analyzer, available exclusively in the Altera Quartus II software version 2.1, helps reduce verification

More information

Design of VGA Controller using VHDL for LCD Display using FPGA

Design of VGA Controller using VHDL for LCD Display using FPGA International OPEN ACCESS Journal Of Modern Engineering Research (IJMER) Design of VGA Controller using VHDL for LCD Display using FPGA Khan Huma Aftab 1, Monauwer Alam 2 1, 2 (Department of ECE, Integral

More information

Digital Systems Laboratory 1 IE5 / WS 2001

Digital Systems Laboratory 1 IE5 / WS 2001 Digital Systems Laboratory 1 IE5 / WS 2001 university of applied sciences fachhochschule hamburg FACHBEREICH ELEKTROTECHNIK UND INFORMATIK digital and microprocessor systems laboratory In this course you

More information

Using HERON modules with FPGAs to connect to FPDP

Using HERON modules with FPGAs to connect to FPDP HUNT ENGINEERING Chestnut Court, Burton Row, Brent Knoll, Somerset, TA9 4BP, UK Tel: (+44) (0)1278 760188, Fax: (+44) (0)1278 760199, Email: sales@hunteng.co.uk www.hunteng.co.uk www.hunt-dsp.com Using

More information

L11/12: Reconfigurable Logic Architectures

L11/12: Reconfigurable Logic Architectures L11/12: Reconfigurable Logic Architectures Acknowledgements: Materials in this lecture are courtesy of the following people and used with permission. - Randy H. Katz (University of California, Berkeley,

More information

Performance Modeling and Noise Reduction in VLSI Packaging

Performance Modeling and Noise Reduction in VLSI Packaging Performance Modeling and Noise Reduction in VLSI Packaging Ph.D. Defense Brock J. LaMeres University of Colorado October 7, 2005 October 7, 2005 Performance Modeling and Noise Reduction in VLSI Packaging

More information

DT9834 Series High-Performance Multifunction USB Data Acquisition Modules

DT9834 Series High-Performance Multifunction USB Data Acquisition Modules DT9834 Series High-Performance Multifunction USB Data Acquisition Modules DT9834 Series High Performance, Multifunction USB DAQ Key Features: Simultaneous subsystem operation on up to 32 analog input channels,

More information

Configuration Vestas VMP3500

Configuration Vestas VMP3500 Configuration Vestas VMP3500 1. Table of contents 1. Table of contents... 2 2. Introduction... 3 3. Vestas turbines (RCS)... 4 3.1. VMP 3500 controller... 4 3.2. Communication with the CT3230 current loop

More information

Sharif University of Technology. SoC: Introduction

Sharif University of Technology. SoC: Introduction SoC Design Lecture 1: Introduction Shaahin Hessabi Department of Computer Engineering System-on-Chip System: a set of related parts that act as a whole to achieve a given goal. A system is a set of interacting

More information

Sundance Multiprocessor Technology Limited. Capture Demo For Intech Unit / Module Number: C Hong. EVP6472 Intech Demo. Abstract

Sundance Multiprocessor Technology Limited. Capture Demo For Intech Unit / Module Number: C Hong. EVP6472 Intech Demo. Abstract Sundance Multiprocessor Technology Limited EVP6472 Intech Demo Unit / Module Description: Capture Demo For Intech Unit / Module Number: EVP6472-SMT949 Document Issue Number 1.1 Issue Data: 27th April 2012

More information

TECHNICAL SPECIFICATION ERC 1340

TECHNICAL SPECIFICATION ERC 1340 Page 1 sur 9 TECHNICAL SPECIFICATION ERC 1340 Date Indice Nature des modifications Rédaction Nom/visa 19/05/2007 0 Première rédaction BF Vérification Nom/visa Approbation Nom/visa Page 2 sur 9 CONTENT

More information

Radar Signal Processing Final Report Spring Semester 2017

Radar Signal Processing Final Report Spring Semester 2017 Radar Signal Processing Final Report Spring Semester 2017 Full report report by Brian Larson Other team members, Grad Students: Mohit Kumar, Shashank Joshil Department of Electrical and Computer Engineering

More information

University Program Design Laboratory Package

University Program Design Laboratory Package University Program Design Laboratory Package November 1999, ver. 1.02 User Guide Introduction The University Program Design Laboratory Package was designed to meet the needs of universities teaching digital

More information

Remote Diagnostics and Upgrades

Remote Diagnostics and Upgrades Remote Diagnostics and Upgrades Tim Pender -Eastman Kodak Company 10/03/03 About this Presentation Motivation for Remote Diagnostics Reduce Field Maintenance costs Product needed to support 100 JTAG chains

More information

BABAR IFR TDC Board (ITB): system design

BABAR IFR TDC Board (ITB): system design BABAR IFR TDC Board (ITB): system design Version 1.1 12 december 1997 G. Crosetti, S. Minutoli, E. Robutti I.N.F.N. Genova 1. Introduction TDC readout of the IFR will be used during BABAR data taking to

More information

Laboratory 1 - Introduction to Digital Electronics and Lab Equipment (Logic Analyzers, Digital Oscilloscope, and FPGA-based Labkit)

Laboratory 1 - Introduction to Digital Electronics and Lab Equipment (Logic Analyzers, Digital Oscilloscope, and FPGA-based Labkit) Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science 6. - Introductory Digital Systems Laboratory (Spring 006) Laboratory - Introduction to Digital Electronics

More information

XJTAG. Boundary Scan Tool. diagnosys.com

XJTAG. Boundary Scan Tool. diagnosys.com XJTAG Boundary Scan Tool diagnosys.com XJLink Overview The XJLink is a small, portable, USB 2.0 to JTAG adapter that provides a high speed interface (480Mbps) to the JTAG chain. The small, lightweight

More information

Innovative Fast Timing Design

Innovative Fast Timing Design Innovative Fast Timing Design Solution through Simultaneous Processing of Logic Synthesis and Placement A new design methodology is now available that offers the advantages of enhanced logical design efficiency

More information

AD16-16U(PCI)EV. Features. High-Speed & Resolution Analog Input Board for PCI AD16-16U(PCI)EV 1. Ver.1.02

AD16-16U(PCI)EV. Features. High-Speed & Resolution Analog Input Board for PCI AD16-16U(PCI)EV 1. Ver.1.02 High-Speed & Resolution Analog Input Board for PCI * Specifications, color and design of the products are subject to change without notice. This product is PCI-compliant interface boards that convert analog

More information

University Program Design Laboratory Package

University Program Design Laboratory Package University Program Design Laboratory Package October 2001, ver. 2.0 User Guide Introduction The University Program (UP) Design Laboratory Package was designed to meet the needs of universities teaching

More information

Lab #5: Design Example: Keypad Scanner and Encoder - Part 1 (120 pts)

Lab #5: Design Example: Keypad Scanner and Encoder - Part 1 (120 pts) Nate Pihlstrom, npihlstr@uccs.edu Lab #5: Design Example: Keypad Scanner and Encoder - Part 1 (120 pts) Objective The objective of lab assignments 5 through 9 are to systematically design and implement

More information

TKK S ASIC-PIIRIEN SUUNNITTELU

TKK S ASIC-PIIRIEN SUUNNITTELU Design TKK S-88.134 ASIC-PIIRIEN SUUNNITTELU Design Flow 3.2.2005 RTL Design 10.2.2005 Implementation 7.4.2005 Contents 1. Terminology 2. RTL to Parts flow 3. Logic synthesis 4. Static Timing Analysis

More information

CoLinkEx JTAG/SWD adapter USER MANUAL

CoLinkEx JTAG/SWD adapter USER MANUAL CoLinkEx JTAG/SWD adapter USER MANUAL rev. A Website: www.bravekit.com Contents Introduction... 3 1. Features of CoLinkEX adapter:... 3 2. Elements of CoLinkEx programmer... 3 2.1. LEDs description....

More information

STMicroelectronics L2G2IS 2-Axis Gyroscope for OIS

STMicroelectronics L2G2IS 2-Axis Gyroscope for OIS STMicroelectronics L2G2IS 2-Axis Gyroscope for OIS MEMS report by Romain Fraux October 2016 21 rue la Noue Bras de Fer 44200 NANTES - FRANCE +33 2 40 18 09 16 info@systemplus.fr www.systemplus.fr 2016

More information

Tools to Debug Dead Boards

Tools to Debug Dead Boards Tools to Debug Dead Boards Hardware Prototype Bring-up Ryan Jones Senior Application Engineer Corelis 1 Boundary-Scan Without Boundaries click to start the show Webinar Outline What is a Dead Board? Prototype

More information

OpenXLR8: How to Load Custom FPGA Blocks

OpenXLR8: How to Load Custom FPGA Blocks OpenXLR8: How to Load Custom FPGA Blocks Webinar Breakdown: Introduc*on to pseudorandom number generator (LFSR) code Review of Verilog wrapper interface to microcontroller Simula*on with Mentor Graphics

More information

Single mode 9/125µm, duplex

Single mode 9/125µm, duplex Fiber Converter Module RS-232 MICROSENS General For the connection of devices, control units and machine controls with standard serial interfaces MICROSENS is offering special fiber converters for the

More information

IMPLEMENTATION OF USB TRANSCEIVER MACROCELL INTERFACE

IMPLEMENTATION OF USB TRANSCEIVER MACROCELL INTERFACE IMPLEMENTATION OF USB TRANSCEIVER MACROCELL INTERFACE A. Vamshidhar Reddy 1, A.Laxman 2,.Prakash 3 L, T.Satyanarayana 4 1 Assoc.Prof. ECE Department, RRS COLLEGE OF ENG. & TECH.,AP,India,avamshireddy@gmail.com

More information

Point System (for instructor and TA use only)

Point System (for instructor and TA use only) EEL 4744C - Drs. George and Gugel Spring Semester 2002 Final Exam NAME SS# Closed book and closed notes examination to be done in pencil. Calculators are permitted. All work and solutions are to be written

More information

Serial FIR Filter. A Brief Study in DSP. ECE448 Spring 2011 Tuesday Section 15 points 3/8/2011 GEORGE MASON UNIVERSITY.

Serial FIR Filter. A Brief Study in DSP. ECE448 Spring 2011 Tuesday Section 15 points 3/8/2011 GEORGE MASON UNIVERSITY. GEORGE MASON UNIVERSITY Serial FIR Filter A Brief Study in DSP ECE448 Spring 2011 Tuesday Section 15 points 3/8/2011 Instructions: Zip all your deliverables into an archive .zip and submit it

More information

of Boundary Scan techniques.

of Boundary Scan techniques. SMT TEHNOLOGY Boundary Scan Techniques for Test Coverage Improvement When discussing the JTAG protocol, most engineers immediately think of In System Programming procedures. Indeed, there are numerous

More information

NanoCom ADS-B. Datasheet An ADS-B receiver for space applications

NanoCom ADS-B. Datasheet An ADS-B receiver for space applications NanoCom ADS-B Datasheet An ADS-B receiver for space applications 1 Table of contents 1 TABLE OF CONTENTS... 2 2 CHANGELOG... 3 3 INTRODUCTION... 4 4 OVERVIEW... 4 4.1 HIGHLIGHTED FEATURES... 4 4.2 BLOCK

More information

Spartan-IIE LC Development Board User s Guide

Spartan-IIE LC Development Board User s Guide Spartan-IIE LC Development Board User s Guide Version 1.0 March 2003 PN# DS-MANUAL-2SELC Memec Design Development Kit Owners Certificate Thank you for purchasing your Memec Design development kit. As an

More information

AT70XUSB. Digital Video Interfacing Products

AT70XUSB. Digital Video Interfacing Products Digital Video Interfacing Products AT70XUSB DVB-C (QAM-A) Cable TV Input DVB-C to DVB-ASI Converter Receiver, Recorder & Converter Small Handheld size No External Power Supply needed Standard Features

More information

Solutions to Embedded System Design Challenges Part II

Solutions to Embedded System Design Challenges Part II Solutions to Embedded System Design Challenges Part II Time-Saving Tips to Improve Productivity In Embedded System Design, Validation and Debug Hi, my name is Mike Juliana. Welcome to today s elearning.

More information

HDL & High Level Synthesize (EEET 2035) Laboratory II Sequential Circuits with VHDL: DFF, Counter, TFF and Timer

HDL & High Level Synthesize (EEET 2035) Laboratory II Sequential Circuits with VHDL: DFF, Counter, TFF and Timer 1 P a g e HDL & High Level Synthesize (EEET 2035) Laboratory II Sequential Circuits with VHDL: DFF, Counter, TFF and Timer Objectives: Develop the behavioural style VHDL code for D-Flip Flop using gated,

More information

FPGA Laboratory Assignment 4. Due Date: 06/11/2012

FPGA Laboratory Assignment 4. Due Date: 06/11/2012 FPGA Laboratory Assignment 4 Due Date: 06/11/2012 Aim The purpose of this lab is to help you understanding the fundamentals of designing and testing memory-based processing systems. In this lab, you will

More information

Ponderosa is expandable by 8 input and/or 8 output increments up to 64x64 in a 4RU frame. Typical Configurations:

Ponderosa is expandable by 8 input and/or 8 output increments up to 64x64 in a 4RU frame. Typical Configurations: Ponderosa Now G! in 3 64 x 64 multi-format HD-SDI/SDI video routing switcher Ponderosa 6464HD3G 64 x 64 multi-format HD-SDI/SDI video routing switcher Ponderosa is expandable by 8 input and/or 8 output

More information

Modeling Latches and Flip-flops

Modeling Latches and Flip-flops Lab Workbook Introduction Sequential circuits are digital circuits in which the output depends not only on the present input (like combinatorial circuits), but also on the past sequence of inputs. In effect,

More information

Lab # 9 VGA Controller

Lab # 9 VGA Controller Lab # 9 VGA Controller Introduction VGA Controller is used to control a monitor (PC monitor) and has a simple protocol as we will see in this lab. Kit parts for this lab 1 A closer look VGA Basics The

More information

12. IEEE (JTAG) Boundary-Scan Testing for the Cyclone III Device Family

12. IEEE (JTAG) Boundary-Scan Testing for the Cyclone III Device Family December 2011 CIII51014-2.3 12. IEEE 1149.1 (JTAG) Boundary-Scan Testing for the Cyclone III Device Family CIII51014-2.3 This chapter provides guidelines on using the IEEE Std. 1149.1 boundary-scan test

More information

Digital to Mixed-Signal Verification of Power Management SOCs Using Questa-ADMS. M. Behaghel

Digital to Mixed-Signal Verification of Power Management SOCs Using Questa-ADMS. M. Behaghel Digital to Mixed-Signal Verification of Power Management SOCs Using Questa-ADMS M. Behaghel A global leader in wireless technologies Leading supplier of platforms and semiconductors for wireless devices

More information

Main Design Project. The Counter. Introduction. Macros. Procedure

Main Design Project. The Counter. Introduction. Macros. Procedure Main Design Project Introduction In order to gain some experience with using macros we will exploit some of the features of our boards to construct a counter that will count from 0 to 59 with the counts

More information

3G HDSDI interface board for SONY FCB HD cameras. Technical manual

3G HDSDI interface board for SONY FCB HD cameras. Technical manual 3G HDSDI interface board for SONY FCB HD cameras Technical manual Revision History Date Modifications Pages Oct, 9th, 2013 Original All 1 Board description This board provides a 3G HDSDI output for FCB

More information

HOLITA HDLC Core: Datasheet

HOLITA HDLC Core: Datasheet HOLITA HDLC Core: Datasheet Version 1.0, July 2012 8-bit Parallel to Serial Shift 8-bit Serial to Parallel Shift HDLC Core FSC16/32 Generation Zero Insert Transmit Control FSC16/32 Check Zero Deletion

More information

National Park Service Photo. Utah 400 Series 1. Digital Routing Switcher.

National Park Service Photo. Utah 400 Series 1. Digital Routing Switcher. National Park Service Photo Utah 400 Series 1 Digital Routing Switcher Utah Scientific has been involved in the design and manufacture of routing switchers for audio and video signals for over thirty years.

More information

EECS150 - Digital Design Lecture 2 - CMOS

EECS150 - Digital Design Lecture 2 - CMOS EECS150 - Digital Design Lecture 2 - CMOS January 23, 2003 John Wawrzynek Spring 2003 EECS150 - Lec02-CMOS Page 1 Outline Overview of Physical Implementations CMOS devices Announcements/Break CMOS transistor

More information

IE1204 Digital Design F11: Programmable Logic, VHDL for Sequential Circuits

IE1204 Digital Design F11: Programmable Logic, VHDL for Sequential Circuits IE1204 Digital Design F11: Programmable Logic, VHDL for Sequential Circuits Elena Dubrova KTH/ICT/ES dubrova@kth.se This lecture BV pp. 98-118, 418-426, 507-519 IE1204 Digital Design, HT14 2 Programmable

More information

March 13, :36 vra80334_appe Sheet number 1 Page number 893 black. appendix. Commercial Devices

March 13, :36 vra80334_appe Sheet number 1 Page number 893 black. appendix. Commercial Devices March 13, 2007 14:36 vra80334_appe Sheet number 1 Page number 893 black appendix E Commercial Devices In Chapter 3 we described the three main types of programmable logic devices (PLDs): simple PLDs, complex

More information

FOM-1090 FOM-1090 FOM FOM-1090 w/ DB-25 Female FOM-1091 w/ DB-25 Male

FOM-1090 FOM-1090 FOM FOM-1090 w/ DB-25 Female FOM-1091 w/ DB-25 Male Serial Data Communications Synchronous, Asynchronous or Isochronous Signal rates: DC to 20 MHz FOM-1090 w/ DB-25 Female FOM-1091 w/ DB-25 Male Supported Interface Standards TIA-530, TIA-530A TIA-232 TIA-574

More information

Laboratory Exercise 4

Laboratory Exercise 4 Laboratory Exercise 4 Polling and Interrupts The purpose of this exercise is to learn how to send and receive data to/from I/O devices. There are two methods used to indicate whether or not data can be

More information

Combo Board.

Combo Board. Combo Board www.matrixtsl.com EB083 Contents About This Document 2 General Information 3 Board Layout 4 Testing This Product 5 Circuit Diagram 6 Liquid Crystal Display 7 Sensors 9 Circuit Diagram 10 About

More information

Lessons Learned from FPGA Developments

Lessons Learned from FPGA Developments Lessons Learned from FPGA Developments Technical Report Prepared by Sandi Habinc FPGA-001-01 Version 0.0 April 2002 EUROPEAN SPACE AGENCY CONTRACT REPORT The work described in this report was done under

More information

Prototyping Solutions For New Wireless Standards

Prototyping Solutions For New Wireless Standards Prototyping Solutions For New Wireless Standards Christoph Juchems IAF Institute For Applied Radio System Technology Berliner Str. 52 J D-38104 Braunschweig Germany www.iaf-bs.de Introduction IAF Institute

More information

University Program Design Laboratory Package

University Program Design Laboratory Package University Program Design Laboratory Package August 1997, ver. 1 User Guide Introduction The University Program Design Laboratory Package was designed to meet the needs of universities teaching digital

More information

Oscilloscopes, logic analyzers ScopeLogicDAQ

Oscilloscopes, logic analyzers ScopeLogicDAQ Oscilloscopes, logic analyzers ScopeLogicDAQ ScopeLogicDAQ 2.0 is a comprehensive measurement system used for data acquisition. The device includes a twochannel digital oscilloscope and a logic analyser

More information

AD12-16U(PCI)EV. Features. High-Speed Analog Input Board for PCI AD12-16U(PCI)EV 1. Ver.1.01

AD12-16U(PCI)EV. Features. High-Speed Analog Input Board for PCI AD12-16U(PCI)EV 1. Ver.1.01 High-Speed Analog Input Board for PCI AD2-6U(PCI)EV * s, color and design of the products are subject to change without notice. This product is PCI-compliant interface boards that convert analog input

More information

MAXTECH, Inc. BRC-1000 Series. C-Band Redundant LNB Systems. Technology for Communications. System Block Diagrams

MAXTECH, Inc. BRC-1000 Series. C-Band Redundant LNB Systems. Technology for Communications. System Block Diagrams MAXTECH, Inc. Technology for Communications BRC-1000 Series C-Band Redundant LNB Systems Introduction Redundant LNB systems minimize system downtime due to LNB failure by providing a spare LNB and an automatic

More information