University of Oxford Department of Physics. Interim Report

Size: px
Start display at page:

Download "University of Oxford Department of Physics. Interim Report"

Transcription

1 University of Oxford Department of Physics Interim Report Project Name: Project Code: Group: Version: Atlas Binary Chip (ABC ) NP-ATL-ROD-ABCDEC1 ATLAS DRAFT Date: 04 February 1998 Distribution List: A. Grillo UCSC D. Cambell RAL S. Cooper M. Goodrich Cambridge N. Kundu A. J. Lankford UCI G. Myatt G. Noyes RAL R. Nickerson A. Parker Cambridge A. Segar P. Shield R. L. Wastie A. R. Weidberg Additional copies may be obtained from S. Geddes (s.geddes1@physics.ox.ac.uk) 1 of 8

2 1.0 Related projects and documents 1.1 Projects NP-ATL-ROD-ABCDEC1-001-RPT NP-ATL-FEE-ABCRDOT ABC readout Oxford University NP-ATL-ROD-BIPHALD BiLED Oxford University NP-ATL-ROD-ABCDEC1 ABC decoder Oxford University 1.2 Documents [1] ABC (Atlas Binary Chip) Project Specification, D. Campbell, RAL, web page:- [2] SCT Off-Detector Electronics Requirements Document, A. J. Lankford, UCI, web page:- [3] NP-ATL-FEE-ABCRDOT-001-RPD, ABC Readout Report, N. Kundu, Oxford. [4] DORIC, A Front End Clock and L1 Distribution Chip, J. R. Gorbold and P. Seller. [5] DORIC (Digital Opto-Receiver Integrated Circuit) Project Specification, D. J. White, RAL. [6] LDC (LED Driver Circuit) Project Specification, D. J. White, RAL. [7] Trigger-DAQ Steering Group Requirement Document, web page: - [8] N-ATL-ROD-BLD-001-PRS, Biphase Mark Encoder and LED Drive Control Chip, R.L.Wastie, Oxford University. [9] Digital Readout Chip for Silicon Strip Detectors at SDC, K. Shankar, RAL, N. Kundu, Oxford. [10] NP-ATL-ROD-ABCDEC1-001-PRS, Atlas Binary Chip (ABC ) Project Specification, R.L.Wastie, Oxford University. 2.0 Introduction This document describes a ROD ABC based on one chip. This chip would be implemented with an FPGA or a cell based ASIC design. As shown in the ROD Data Paths ( page 7 ), a ROD would consist of four ABC ASICs each with it own external buffer (Buffer 1). These four buffers are read by one Event Builder which assembles whole events into an external buffer (Buffer2) supplying a 1Gbit/s ROD-ROB link (delivering event data to a ROB). There is a Host CPU for control, monitoring and various other housekeeping tasks. The ABC receives eight serial links form the front-end modules, decodes the data, check and handling various data errors and building the event fragments for each channel. These fragments are stored in chip/strip order in the external buffer (Buffer 1). 2 of 8

3 3.0 ROD Model 3.1 Physics Model The physics data was take from the TDR. Several assumptions have been made about the data, which are listed as follows: - The occupancy in the silicon detector is 2% (1% TDR) with a Poisson distribution to allow for fluctuations and to allow for any error in the Monte Carlo simulations. The distribution of strips hit in the module is assumed to be uniform with 50% of the hits having neighbours. The strip hit distribution don t affect the data rate. The L1 trigger rate is 100 khz with a exponential distribution of interval time. The decoder must not be the limiting factor in the data flow. That is it must take data at 40 Mbits/s or approx. 19 hits with 50% neighbours per L1 accept continues at 100 khz average trigger rate. Figure 1 shows the L1 trigger interval distribution with 10 6 L1 triggers 10s of LHC real-time. Figure 2 shows the distribution of the number of hits per trigger for the same simulation. The model generates data for one ABC module which is fed into all eight decoder channels. Figure 1 Distribution of L1 triggers Number of trigger 6000 Series Interval time us 3 of 8

4 Figure 2 Hit Distribution The mean is 23 hits per trigger Series Model Data Number of Hits per trigger The ADC model can handle all the physics data types and all the ABC error codes. The configuration data is not included yet. The data is written into the output buffer (buffer 0) as -bit words. The data format is as Table 1. If there is an odd number of hits the 2 nd hit will be padded by zeros. Each block of data for an event (L1 accept) is written in the buffer with a header word at the beginning which contains the trigger information. At the end of the event a trailer word is written into the buffer as shown in Table 2. This format allows for a variable number of hits to be identified in the buffer. There can be several events in the buffer. This is an intermediate data format used by the event builder. 4 of 8

5 Table 1 Packet Hit data Chip Strip Hit data Chip Strip type addesss address addesss address [31:28] [27:25] [24:21] [20:14] [13:11] [10:7] [6:0] tttt ddd cccc sssssss ddd cccc sssssss nd hit data 1 st hit data Table 2 Packet L1ID BCID type LLLL bbbbbbbbbbbb Header Word Trailer Word Errors Error handling is implemented in the model in a limited fashion. One or many errors can be selected to be counted in one error counter. The counter can be masked to count only selected errors if required. Errors are also written into a buffer, but that is as far as this implementation is modeled. I need to know from the wider ATLAS community what error information is need at the ROB/DAQ level. Buffers The results of the simulation show that the buffers sizes are relatively small. Buffer Zero the internal buffer in the decoder would have a depth of 2 by bits for each channel. Buffer One the buffer between the decoder and the event builder would have a depth of 416 by bits for each channel. Buffer One would have to be implemented as 512 x bits. Data is read from buffer zero for each decoder channel in turn starting with channel one. When channel eight has been read out there is a delay equivalent to the readout time of 24 decoder channels. This was done to simulate the event builder handling decoder channels. L1 & BC The checking of the L1ID and BCID would best be implemented in the event builder. I came to this conclusion from evaluating the first decoder architecture. In that architecture the check was implemented for each receiver channel (module). This 5 of 8

6 required that each channel needed a LIID/BCID buffer, consequently this made the design much larger that the second approach. 4.0 Model simulation results Total number of L1 Accepts Total number of Hits Average hits 23 b Maximum hits per trigger 66 Number of errors a Maximun nuber of L1 s waiting 16 Maximum words in Buffer Zero 2 Maximum words in Buffer One Channel Max Words Note a These errors are ABC buffer overflow errors. This happens when the number of triggers in the ABC event FIFO exceeds eight. This number of errors is a 0.51% data loss. Note b This is an occupancy of 3%. 5.0 Further work Module To improve the model the module data would have to be generated for each decoder channel separately and with different data. The issue of the error data needs to be addressed, where and what to do with the error data. There needs to be a global look at errors, and where they are processed. Some errors could be better handled in the event builder or somewhere else. Then the error handling can have a more complete implementation. Event Builder 6 of 8

7 The formatting of the event packets to the slink is still to be implemented. The amount of data to the slink is far to high, data compression encoding schemes to reduce the data to an acceptable level will need to be investigated. 5.0 Document Control All documentation will be archived according to the ATLAS Document Management Protocol. All documents will be kept for the lifetime of the ATLAS experiment. 7 of 8

8 ROD Data Paths Slink Fibre Tx 1Gb/s Fibre to ROB For Local Data readout during Barrel testing. Host Bus: Event Builder Setup Trigger Type Module ID Control Status Error Statistics Errors Derandomizing FIFO Kx EVENT BUILDER Buffer 2 L1ID BCID Data WR1 RD0 RD1 BCR VME Host CPU Buffer 1 WR1 0 WR1 1 WR1 2 WR1 3 DUAL PORT DUAL PORT DUAL PORT DUAL PORT RAM RD1 RAM RD1 RAM RD1 RAM RD1 4Kx 4Kx 4Kx 4Kx 4 16 Host Bus: s Setup Channel Masking Control Status Error Statistics Errors 0 ABC 8 of 8 1 ABC RD0 2 ABC RD0 A RD0 RD0 3 ABC 8 Links 8 Links 8 Links 8 Links Buffer 0

TTC Interface Module for ATLAS Read-Out Electronics: Final production version based on Xilinx FPGA devices

TTC Interface Module for ATLAS Read-Out Electronics: Final production version based on Xilinx FPGA devices Physics & Astronomy HEP Electronics TTC Interface Module for ATLAS Read-Out Electronics: Final production version based on Xilinx FPGA devices LECC 2004 Matthew Warren warren@hep.ucl.ac.uk Jon Butterworth,

More information

CMS Conference Report

CMS Conference Report Available on CMS information server CMS CR 1997/017 CMS Conference Report 22 October 1997 Updated in 30 March 1998 Trigger synchronisation circuits in CMS J. Varela * 1, L. Berger 2, R. Nóbrega 3, A. Pierce

More information

Advanced Training Course on FPGA Design and VHDL for Hardware Simulation and Synthesis. 26 October - 20 November, 2009

Advanced Training Course on FPGA Design and VHDL for Hardware Simulation and Synthesis. 26 October - 20 November, 2009 2065-28 Advanced Training Course on FPGA Design and VHDL for Hardware Simulation and Synthesis 26 October - 20 November, 2009 Starting to make an FPGA Project Alexander Kluge PH ESE FE Division CERN 385,

More information

The Readout Architecture of the ATLAS Pixel System

The Readout Architecture of the ATLAS Pixel System The Readout Architecture of the ATLAS Pixel System Roberto Beccherle / INFN - Genova E-mail: Roberto.Beccherle@ge.infn.it Copy of This Talk: http://www.ge.infn.it/atlas/electronics/home.html R. Beccherle

More information

BABAR IFR TDC Board (ITB): requirements and system description

BABAR IFR TDC Board (ITB): requirements and system description BABAR IFR TDC Board (ITB): requirements and system description Version 1.1 November 1997 G. Crosetti, S. Minutoli, E. Robutti I.N.F.N. Genova 1. Timing measurement with the IFR Accurate track reconstruction

More information

The Readout Architecture of the ATLAS Pixel System. 2 The ATLAS Pixel Detector System

The Readout Architecture of the ATLAS Pixel System. 2 The ATLAS Pixel Detector System The Readout Architecture of the ATLAS Pixel System Roberto Beccherle, on behalf of the ATLAS Pixel Collaboration Istituto Nazionale di Fisica Nucleare, Sez. di Genova Via Dodecaneso 33, I-646 Genova, ITALY

More information

Logic Devices for Interfacing, The 8085 MPU Lecture 4

Logic Devices for Interfacing, The 8085 MPU Lecture 4 Logic Devices for Interfacing, The 8085 MPU Lecture 4 1 Logic Devices for Interfacing Tri-State devices Buffer Bidirectional Buffer Decoder Encoder D Flip Flop :Latch and Clocked 2 Tri-state Logic Outputs

More information

The Read-Out system of the ALICE pixel detector

The Read-Out system of the ALICE pixel detector The Read-Out system of the ALICE pixel detector Kluge, A. for the ALICE SPD collaboration CERN, CH-1211 Geneva 23, Switzerland Abstract The on-detector electronics of the ALICE silicon pixel detector (nearly

More information

ALICE Muon Trigger upgrade

ALICE Muon Trigger upgrade ALICE Muon Trigger upgrade Context RPC Detector Status Front-End Electronics Upgrade Readout Electronics Upgrade Conclusions and Perspectives Dr Pascal Dupieux, LPC Clermont, QGPF 2013 1 Context The Muon

More information

BABAR IFR TDC Board (ITB): system design

BABAR IFR TDC Board (ITB): system design BABAR IFR TDC Board (ITB): system design Version 1.1 12 december 1997 G. Crosetti, S. Minutoli, E. Robutti I.N.F.N. Genova 1. Introduction TDC readout of the IFR will be used during BABAR data taking to

More information

SignalTap Plus System Analyzer

SignalTap Plus System Analyzer SignalTap Plus System Analyzer June 2000, ver. 1 Data Sheet Features Simultaneous internal programmable logic device (PLD) and external (board-level) logic analysis 32-channel external logic analyzer 166

More information

LHCb and its electronics. J. Christiansen On behalf of the LHCb collaboration

LHCb and its electronics. J. Christiansen On behalf of the LHCb collaboration LHCb and its electronics J. Christiansen On behalf of the LHCb collaboration Physics background CP violation necessary to explain matter dominance B hadron decays good candidate to study CP violation B

More information

Design, Realization and Test of a DAQ chain for ALICE ITS Experiment. S. Antinori, D. Falchieri, A. Gabrielli, E. Gandolfi

Design, Realization and Test of a DAQ chain for ALICE ITS Experiment. S. Antinori, D. Falchieri, A. Gabrielli, E. Gandolfi Design, Realization and Test of a DAQ chain for ALICE ITS Experiment S. Antinori, D. Falchieri, A. Gabrielli, E. Gandolfi Physics Department, Bologna University, Viale Berti Pichat 6/2 40127 Bologna, Italy

More information

READOUT ELECTRONICS FOR TPC DETECTOR IN THE MPD/NICA PROJECT

READOUT ELECTRONICS FOR TPC DETECTOR IN THE MPD/NICA PROJECT READOUT ELECTRONICS FOR TPC DETECTOR IN THE MPD/NICA PROJECT S.Movchan, A.Pilyar, S.Vereschagin a, S.Zaporozhets Veksler and Baldin Laboratory of High Energy Physics, Joint Institute for Nuclear Research,

More information

LHCb and its electronics.

LHCb and its electronics. LHCb and its electronics. J. Christiansen, CERN On behalf of the LHCb collaboration jorgen.christiansen@cern.ch Abstract The general architecture of the electronics systems in the LHCb experiment is described

More information

A pixel chip for tracking in ALICE and particle identification in LHCb

A pixel chip for tracking in ALICE and particle identification in LHCb A pixel chip for tracking in ALICE and particle identification in LHCb K.Wyllie 1), M.Burns 1), M.Campbell 1), E.Cantatore 1), V.Cencelli 2) R.Dinapoli 3), F.Formenti 1), T.Grassi 1), E.Heijne 1), P.Jarron

More information

Front End Electronics

Front End Electronics CLAS12 Ring Imaging Cherenkov (RICH) Detector Mid-term Review Front End Electronics INFN - Ferrara Matteo Turisini 2015 October 13 th Overview Readout requirements Hardware design Electronics boards Integration

More information

DXP-xMAP General List-Mode Specification

DXP-xMAP General List-Mode Specification DXP-xMAP General List-Mode Specification The xmap processor can support a wide range of timing or mapping operations, including mapping with full MCA spectra, multiple SCA regions, and finally a variety

More information

Status of the CSC Track-Finder

Status of the CSC Track-Finder Status of the CSC Track-Finder D. Acosta, S.M. Wang University of Florida A.Atamanchook, V.Golovstov, B.Razmyslovich PNPI CSC Muon Trigger Scheme Strip FE cards Strip LCT card CSC Track-Finder LCT Motherboard

More information

Experiment: FPGA Design with Verilog (Part 4)

Experiment: FPGA Design with Verilog (Part 4) Department of Electrical & Electronic Engineering 2 nd Year Laboratory Experiment: FPGA Design with Verilog (Part 4) 1.0 Putting everything together PART 4 Real-time Audio Signal Processing In this part

More information

Laboratory Exercise 4

Laboratory Exercise 4 Laboratory Exercise 4 Polling and Interrupts The purpose of this exercise is to learn how to send and receive data to/from I/O devices. There are two methods used to indicate whether or not data can be

More information

Mass production testing of the front-end ASICs for the ALICE SDD system

Mass production testing of the front-end ASICs for the ALICE SDD system Mass production testing of the front-end ASICs for the ALICE SDD system L. Toscano a, R.Arteche Diaz b,e, S.Di Liberto b, M.I.Martínez a,d, S.Martoiu a, M.Masera c, G.Mazza a, M.A.Mazzoni b, F.Meddi b,

More information

Front End Electronics

Front End Electronics CLAS12 Ring Imaging Cherenkov (RICH) Detector Mid-term Review Front End Electronics INFN - Ferrara Matteo Turisini 2015 October 13 th Overview Readout requirements Hardware design Electronics boards Integration

More information

SuperB- DCH. Servizio Ele<ronico Laboratori FrascaA

SuperB- DCH. Servizio Ele<ronico Laboratori FrascaA 1 Outline 2 DCH FEE Constraints/Estimate & Main Blocks front- end main blocks Constraints & EsAmate Trigger rate (150 khz) Trigger/DAQ data format I/O BW Trigger Latency Minimum trigger spacing. Chamber

More information

PIXEL2000, June 5-8, FRANCO MEDDI CERN-ALICE / University of Rome & INFN, Italy. For the ALICE Collaboration

PIXEL2000, June 5-8, FRANCO MEDDI CERN-ALICE / University of Rome & INFN, Italy. For the ALICE Collaboration PIXEL2000, June 5-8, 2000 FRANCO MEDDI CERN-ALICE / University of Rome & INFN, Italy For the ALICE Collaboration CONTENTS: Introduction: Physics Requirements Design Considerations Present development status

More information

Digilent Nexys-3 Cellular RAM Controller Reference Design Overview

Digilent Nexys-3 Cellular RAM Controller Reference Design Overview Digilent Nexys-3 Cellular RAM Controller Reference Design Overview General Overview This document describes a reference design of the Cellular RAM (or PSRAM Pseudo Static RAM) controller for the Digilent

More information

The TRIGGER/CLOCK/SYNC Distribution for TJNAF 12 GeV Upgrade Experiments

The TRIGGER/CLOCK/SYNC Distribution for TJNAF 12 GeV Upgrade Experiments 1 1 1 1 1 1 1 1 0 1 0 The TRIGGER/CLOCK/SYNC Distribution for TJNAF 1 GeV Upgrade Experiments William GU, et al. DAQ group and Fast Electronics group Thomas Jefferson National Accelerator Facility (TJNAF),

More information

S.Cenk Yıldız on behalf of ATLAS Muon Collaboration. Topical Workshop on Electronics for Particle Physics, 28 September - 2 October 2015

S.Cenk Yıldız on behalf of ATLAS Muon Collaboration. Topical Workshop on Electronics for Particle Physics, 28 September - 2 October 2015 THE ATLAS CATHODE STRIP CHAMBERS A NEW ATLAS MUON CSC READOUT SYSTEM WITH SYSTEM ON CHIP TECHNOLOGY ON ATCA PLATFORM S.Cenk Yıldız on behalf of ATLAS Muon Collaboration University of California, Irvine

More information

Quiz #4 Thursday, April 25, 2002, 5:30-6:45 PM

Quiz #4 Thursday, April 25, 2002, 5:30-6:45 PM Last (family) name: First (given) name: Student I.D. #: Circle section: Hu Saluja Department of Electrical and Computer Engineering University of Wisconsin - Madison ECE/CS 352 Digital System Fundamentals

More information

Level 1 Calorimeter Trigger:

Level 1 Calorimeter Trigger: ATL DA ES 0038 30 November 2006 EDMS document number 489129 Version Draft 0.6 Level 1 Calorimeter Trigger: DAQ and CMM cabling L1Calo Group 1 1 Introduction The purpose of this note is to complete the

More information

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath Objectives Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath In the previous chapters we have studied how to develop a specification from a given application, and

More information

Use of Low Power DET Address Pointer Circuit for FIFO Memory Design

Use of Low Power DET Address Pointer Circuit for FIFO Memory Design International Journal of Education and Science Research Review Use of Low Power DET Address Pointer Circuit for FIFO Memory Design Harpreet M.Tech Scholar PPIMT Hisar Supriya Bhutani Assistant Professor

More information

GREAT 32 channel peak sensing ADC module: User Manual

GREAT 32 channel peak sensing ADC module: User Manual GREAT 32 channel peak sensing ADC module: User Manual Specification: 32 independent timestamped peak sensing, ADC channels. Input range 0 to +8V. Sliding scale correction. Peaking time greater than 1uS.

More information

Copyright 2016 Joseph A. Mayer II

Copyright 2016 Joseph A. Mayer II Copyright 2016 Joseph A. Mayer II Three Generations of FPGA DAQ Development for the ATLAS Pixel Detector Joseph A. Mayer II A thesis Submitted in partial fulfillment of the Requirements for the degree

More information

Lab #10: Building Output Ports with the 6811

Lab #10: Building Output Ports with the 6811 1 Tiffany Q. Liu April 11, 2011 CSC 270 Lab #10 Lab #10: Building Output Ports with the 6811 Introduction The purpose of this lab was to build a 1-bit as well as a 2-bit output port with the 6811 training

More information

BEMC electronics operation

BEMC electronics operation Appendix A BEMC electronics operation The tower phototubes are powered by CockroftWalton (CW) bases that are able to keep the high voltage up to a high precision. The bases are programmed through the serial

More information

CMS Tracker Synchronization

CMS Tracker Synchronization CMS Tracker Synchronization K. Gill CERN EP/CME B. Trocme, L. Mirabito Institut de Physique Nucleaire de Lyon Outline Timing issues in CMS Tracker Synchronization method Relative synchronization Synchronization

More information

ALICE Week Technical Board TPC Intelligent Readout Architecture. Volker Lindenstruth Universität Heidelberg

ALICE Week Technical Board TPC Intelligent Readout Architecture. Volker Lindenstruth Universität Heidelberg ALICE Week 17.11.99 Technical Board TPC Intelligent Readout Architecture Volker Lindenstruth Universität Heidelberg What s new?? TPC occuppancy is much higher than originally assumed New Trigger Detector

More information

Local Trigger Electronics for the CMS Drift Tubes Muon Detector

Local Trigger Electronics for the CMS Drift Tubes Muon Detector Amsterdam, 1 October 2003 Local Trigger Electronics for the CMS Drift Tubes Muon Detector Presented by R.Travaglini INFN-Bologna Italy CMS Drift Tubes Muon Detector CMS Barrel: 5 wheels Wheel : Azimuthal

More information

IT T35 Digital system desigm y - ii /s - iii

IT T35 Digital system desigm y - ii /s - iii UNIT - III Sequential Logic I Sequential circuits: latches flip flops analysis of clocked sequential circuits state reduction and assignments Registers and Counters: Registers shift registers ripple counters

More information

New Spill Structure Analysis Tools for the VME Based Data Acquisition System ABLASS at GSI

New Spill Structure Analysis Tools for the VME Based Data Acquisition System ABLASS at GSI New Spill Structure Analysis Tools for the VME Based Data Acquisition System ABLASS at GSI T. Hoffmann, P. Forck, D. A. Liakin * Gesellschaft f. Schwerionenforschung, Planckstr. 1, D-64291 Darmstadt *

More information

CSC Data Rates, Formats and Calibration Methods

CSC Data Rates, Formats and Calibration Methods CSC Data Rates, Formats and Calibration Methods D. Acosta University of Florida With most information collected from the The Ohio State University PRS March Milestones 1. Determination of calibration methods

More information

OFC & VLSI SIMULATION LAB MANUAL

OFC & VLSI SIMULATION LAB MANUAL DEVBHOOMI INSTITUTE OF TECHNOLOGY FOR WOMEN, DEHRADUN - 24847 DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING Prepared BY: Ajay Kumar Gautam Asst. Prof. Electronics & Communication Engineering

More information

The Alice Silicon Pixel Detector (SPD) Peter Chochula for the Alice Pixel Collaboration

The Alice Silicon Pixel Detector (SPD) Peter Chochula for the Alice Pixel Collaboration The Alice Silicon Pixel Detector (SPD) Peter Chochula for the Alice Pixel Collaboration The Alice Pixel Detector R 1 =3.9 cm R 2 =7.6 cm Main Physics Goal Heavy Flavour Physics D 0 K π+ 15 days Pb-Pb data

More information

FRONT-END AND READ-OUT ELECTRONICS FOR THE NUMEN FPD

FRONT-END AND READ-OUT ELECTRONICS FOR THE NUMEN FPD FRONT-END AND READ-OUT ELECTRONICS FOR THE NUMEN FPD D. LO PRESTI D. BONANNO, F. LONGHITANO, D. BONGIOVANNI, S. REITO INFN- SEZIONE DI CATANIA D. Lo Presti, NUMEN2015 LNS, 1-2 December 2015 1 OVERVIEW

More information

EECS150 - Digital Design Lecture 12 - Video Interfacing. Recap and Outline

EECS150 - Digital Design Lecture 12 - Video Interfacing. Recap and Outline EECS150 - Digital Design Lecture 12 - Video Interfacing Oct. 8, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy of Prof. John

More information

EE292: Fundamentals of ECE

EE292: Fundamentals of ECE EE292: Fundamentals of ECE Fall 2012 TTh 10:00-11:15 SEB 1242 Lecture 23 121120 http://www.ee.unlv.edu/~b1morris/ee292/ 2 Outline Review Combinatorial Logic Sequential Logic 3 Combinatorial Logic Circuits

More information

1. Convert the decimal number to binary, octal, and hexadecimal.

1. Convert the decimal number to binary, octal, and hexadecimal. 1. Convert the decimal number 435.64 to binary, octal, and hexadecimal. 2. Part A. Convert the circuit below into NAND gates. Insert or remove inverters as necessary. Part B. What is the propagation delay

More information

ATLAS L1Calo Pre-processor compressed S-Link data formats

ATLAS L1Calo Pre-processor compressed S-Link data formats Introduction ATLAS Lalo re-processor compressed S-Link data formats D... Sankey Rutherford Appleton Laboratory, Didcot, Oxon., OX QX, UK Version.5, March 4, 28 ATL-DA-ES-54 The overall structure of the

More information

Digital Electronics II 2016 Imperial College London Page 1 of 8

Digital Electronics II 2016 Imperial College London Page 1 of 8 Information for Candidates: The following notation is used in this paper: 1. Unless explicitly indicated otherwise, digital circuits are drawn with their inputs on the left and their outputs on the right.

More information

Improving EPICS IOC Application (EPICS user experience)

Improving EPICS IOC Application (EPICS user experience) Improving EPICS IOC Application (EPICS user experience) Shantha Condamoor Instrumentation and Controls Division 1 to overcome some Software Design limitations A specific use case will be taken as an example

More information

A Low Power Delay Buffer Using Gated Driver Tree

A Low Power Delay Buffer Using Gated Driver Tree IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) ISSN: 2319 4200, ISBN No. : 2319 4197 Volume 1, Issue 4 (Nov. - Dec. 2012), PP 26-30 A Low Power Delay Buffer Using Gated Driver Tree Kokkilagadda

More information

Global Trigger Trigger meeting 27.Sept 00 A.Taurok

Global Trigger Trigger meeting 27.Sept 00 A.Taurok Global Trigger Trigger meeting 27.Sept 00 A.Taurok Global Trigger Crate GT crate VME 9U Backplane 4 MUONS parallel CLOCK, BC_Reset... READOUT _links PSB 12 PSB 12 24 4 6 GT MU 6 GT MU PSB 12 PSB 12 PSB

More information

SVT DAQ. Per Hansson Adrian HPS Collaboration Meeting 10/27/2015

SVT DAQ. Per Hansson Adrian HPS Collaboration Meeting 10/27/2015 SVT DAQ Per Hansson Adrian HPS Collaboration Meeting 10/27/2015 Overview Trigger rate improvements Optimized data format Shorter APV25 shaping time Single event upset monitor Data integrity Plans 2 Deadtime

More information

DIGITAL ELECTRONICS MCQs

DIGITAL ELECTRONICS MCQs DIGITAL ELECTRONICS MCQs 1. A 8-bit serial in / parallel out shift register contains the value 8, clock signal(s) will be required to shift the value completely out of the register. A. 1 B. 2 C. 4 D. 8

More information

Design of the Level-1 Global Calorimeter Trigger

Design of the Level-1 Global Calorimeter Trigger Design of the Level-1 Global Calorimeter Trigger For I reckon that the sufferings of this present time are not worthy to be compared with the glory which shall be revealed to us The epistle of Paul the

More information

Description of the Synchronization and Link Board

Description of the Synchronization and Link Board Available on CMS information server CMS IN 2005/007 March 8, 2005 Description of the Synchronization and Link Board ECAL and HCAL Interface to the Regional Calorimeter Trigger Version 3.0 (SLB-S) PMC short

More information

WINTER 14 EXAMINATION

WINTER 14 EXAMINATION Subject Code: 17320 WINTER 14 EXAMINATION Model Answer Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme. 2)

More information

A Quasi-Static Optoelectronic ATM Switch

A Quasi-Static Optoelectronic ATM Switch A Quasi-Static Optoelectronic ATM Switch (NSF Grant 9814856) Polytechnic University Project Objectives and Challenging Issues Objectives: Based on the concept of the path switching, we propose a multiterabit/s

More information

Research Article. Implementation of Low Power, Delay and Area Efficient Shifters for Memory Based Computation

Research Article. Implementation of Low Power, Delay and Area Efficient Shifters for Memory Based Computation International Journal of Modern Science and Technology Vol. 2, No. 5, 2017. Page 217-222. http://www.ijmst.co/ ISSN: 2456-0235. Research Article Implementation of Low Power, Delay and Area Efficient Shifters

More information

Simple PICTIC Commands

Simple PICTIC Commands The Simple PICTIC Are you an amateur bit by the Time-Nut bug but can t afford a commercial time interval counter with sub nanosecond resolution and a GPIB interface? Did you find a universal counter on

More information

Commissioning and Initial Performance of the Belle II itop PID Subdetector

Commissioning and Initial Performance of the Belle II itop PID Subdetector Commissioning and Initial Performance of the Belle II itop PID Subdetector Gary Varner University of Hawaii TIPP 2017 Beijing Upgrading PID Performance - PID (π/κ) detectors - Inside current calorimeter

More information

The reduction in the number of flip-flops in a sequential circuit is referred to as the state-reduction problem.

The reduction in the number of flip-flops in a sequential circuit is referred to as the state-reduction problem. State Reduction The reduction in the number of flip-flops in a sequential circuit is referred to as the state-reduction problem. State-reduction algorithms are concerned with procedures for reducing the

More information

Software Analog Video Inputs

Software Analog Video Inputs Software FG-38-II has signed drivers for 32-bit and 64-bit Microsoft Windows. The standard interfaces such as Microsoft Video for Windows / WDM and Twain are supported to use third party video software.

More information

DUOLABS Spa. Conditional Access Module Hardware Brief. CA Module User Guide V0.2

DUOLABS Spa. Conditional Access Module Hardware Brief. CA Module User Guide V0.2 Conditional Access Module Hardware Brief CA Module User Guide V0.2 Index Conditional Access Module... 1 CA Module User Guide... 1 Revision history... Errore. Il segnalibro non è definito. Index... 1 Reference...

More information

THE WaveDAQ SYSTEM FOR THE MEG II UPGRADE

THE WaveDAQ SYSTEM FOR THE MEG II UPGRADE Stefan Ritt, Paul Scherrer Institute, Switzerland Luca Galli, Fabio Morsani, Donato Nicolò, INFN Pisa, Italy THE WaveDAQ SYSTEM FOR THE MEG II UPGRADE DRS4 Chip 0.2-2 ns Inverter Domino ring chain IN Clock

More information

Sector Processor to Detector Dependent Unit Interface

Sector Processor to Detector Dependent Unit Interface Sector Processor to Detector Dependent Unit Interface Petersburg Nuclear Physics Institute / University of Florida Version 1.1 October 18, 2001 Introduction The Sector Processor (SP) reconstructs tracks

More information

TABLE 3. MIB COUNTER INPUT Register (Write Only) TABLE 4. MIB STATUS Register (Read Only)

TABLE 3. MIB COUNTER INPUT Register (Write Only) TABLE 4. MIB STATUS Register (Read Only) TABLE 3. MIB COUNTER INPUT Register (Write Only) at relative address: 1,000,404 (Hex) Bits Name Description 0-15 IRC[15..0] Alternative for MultiKron Resource Counters external input if no actual external

More information

The ALICE on-detector pixel PILOT system - OPS

The ALICE on-detector pixel PILOT system - OPS The ALICE on-detector PILOT system - OPS Kluge, A. 1, Anelli, G. 1, Antinori, F. 2, Ban, J. 3, Burns, M. 1, Campbell, M. 1, Chochula, P. 1, 4, Dinapoli, R. 1, Formenti, F. 1,van Hunen, J.J. 1, Krivda,

More information

MODULAR DIGITAL ELECTRONICS TRAINING SYSTEM

MODULAR DIGITAL ELECTRONICS TRAINING SYSTEM MODULAR DIGITAL ELECTRONICS TRAINING SYSTEM MDETS UCTECH's Modular Digital Electronics Training System is a modular course covering the fundamentals, concepts, theory and applications of digital electronics.

More information

BUSES IN COMPUTER ARCHITECTURE

BUSES IN COMPUTER ARCHITECTURE BUSES IN COMPUTER ARCHITECTURE The processor, main memory, and I/O devices can be interconnected by means of a common bus whose primary function is to provide a communication path for the transfer of data.

More information

Lossless Compression Algorithms for Direct- Write Lithography Systems

Lossless Compression Algorithms for Direct- Write Lithography Systems Lossless Compression Algorithms for Direct- Write Lithography Systems Hsin-I Liu Video and Image Processing Lab Department of Electrical Engineering and Computer Science University of California at Berkeley

More information

EECS150 - Digital Design Lecture 10 - Interfacing. Recap and Topics

EECS150 - Digital Design Lecture 10 - Interfacing. Recap and Topics EECS150 - Digital Design Lecture 10 - Interfacing Oct. 1, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy of Prof. John Wawrzynek)

More information

Design and Implementation of SOC VGA Controller Using Spartan-3E FPGA

Design and Implementation of SOC VGA Controller Using Spartan-3E FPGA Design and Implementation of SOC VGA Controller Using Spartan-3E FPGA 1 ARJUNA RAO UDATHA, 2 B.SUDHAKARA RAO, 3 SUDHAKAR.B. 1 Dept of ECE, PG Scholar, 2 Dept of ECE, Associate Professor, 3 Electronics,

More information

Laboratory 4. Figure 1: Serdes Transceiver

Laboratory 4. Figure 1: Serdes Transceiver Laboratory 4 The purpose of this laboratory exercise is to design a digital Serdes In the first part of the lab, you will design all the required subblocks for the digital Serdes and simulate them In part

More information

For Teacher's Use Only Q Total No. Marks. Q No Q No Q No

For Teacher's Use Only Q Total No. Marks. Q No Q No Q No FINALTERM EXAMINATION Spring 2010 CS302- Digital Logic Design (Session - 4) Time: 90 min Marks: 58 For Teacher's Use Only Q 1 2 3 4 5 6 7 8 Total No. Marks Q No. 9 10 11 12 13 14 15 16 Marks Q No. 17 18

More information

12 Cathode Strip Chamber Track-Finder

12 Cathode Strip Chamber Track-Finder CMS Trigger TDR DRAFT 12 Cathode Strip Chamber Track-Finder 12 Cathode Strip Chamber Track-Finder 12.1 Requirements 12.1.1 Physics Requirements The L1 trigger electronics of the CMS muon system must measure

More information

The Silicon Pixel Detector (SPD) for the ALICE Experiment

The Silicon Pixel Detector (SPD) for the ALICE Experiment The Silicon Pixel Detector (SPD) for the ALICE Experiment V. Manzari/INFN Bari, Italy for the SPD Project in the ALICE Experiment INFN and Università Bari, Comenius University Bratislava, INFN and Università

More information

EMPTY and FULL Flag Behaviors of the Axcelerator FIFO Controller

EMPTY and FULL Flag Behaviors of the Axcelerator FIFO Controller Application Note AC228 and FULL Flag Behaviors of the Axcelerator FIFO Controller Introduction The purpose of this application note is to specifically illustrate the following two behaviors of the FULL

More information

The LHCb Timing and Fast Control system

The LHCb Timing and Fast Control system The LCb Timing and Fast system. Jacobsson, B. Jost CEN, 1211 Geneva 23, Switzerland ichard.jacobsson@cern.ch, Beat.Jost@cern.ch A. Chlopik, Z. Guzik Soltan Institute for Nuclear Studies, Swierk-twock,

More information

Beam test of the QMB6 calibration board and HBU0 prototype

Beam test of the QMB6 calibration board and HBU0 prototype Beam test of the QMB6 calibration board and HBU0 prototype J. Cvach 1, J. Kvasnička 1,2, I. Polák 1, J. Zálešák 1 May 23, 2011 Abstract We report about the performance of the HBU0 board and the optical

More information

CHAPTER 6 ASYNCHRONOUS QUASI DELAY INSENSITIVE TEMPLATES (QDI) BASED VITERBI DECODER

CHAPTER 6 ASYNCHRONOUS QUASI DELAY INSENSITIVE TEMPLATES (QDI) BASED VITERBI DECODER 80 CHAPTER 6 ASYNCHRONOUS QUASI DELAY INSENSITIVE TEMPLATES (QDI) BASED VITERBI DECODER 6.1 INTRODUCTION Asynchronous designs are increasingly used to counter the disadvantages of synchronous designs.

More information

LAX_x Logic Analyzer

LAX_x Logic Analyzer Legacy documentation LAX_x Logic Analyzer Summary This core reference describes how to place and use a Logic Analyzer instrument in an FPGA design. Core Reference CR0103 (v2.0) March 17, 2008 The LAX_x

More information

Interfacing Analog to Digital Data Converters. A/D D/A Converter 1

Interfacing Analog to Digital Data Converters. A/D D/A Converter 1 Interfacing Analog to Digital Data Converters A/D D/A Converter 1 In most of the cases, the PPI 8255 is used for interfacing the analog to digital converters with microprocessor. The analog to digital

More information

EE178 Spring 2018 Lecture Module 5. Eric Crabill

EE178 Spring 2018 Lecture Module 5. Eric Crabill EE178 Spring 2018 Lecture Module 5 Eric Crabill Goals Considerations for synchronizing signals Clocks Resets Considerations for asynchronous inputs Methods for crossing clock domains Clocks The academic

More information

TRT Software Activities

TRT Software Activities TRT Software Activities - 08/14/2009 SPLASH EVENT IN THE TRT I will mainly focus on the activities where the Duke group is more directly involved 1 TRT SW Offline Duke Group heavily involved in several

More information

AI-1616L-LPE. Features. High-precision Analog input board (Low Profile size) for PCI Express AI-1616L-LPE 1. Ver.1.02 Ver.1.01

AI-1616L-LPE. Features. High-precision Analog input board (Low Profile size) for PCI Express AI-1616L-LPE 1. Ver.1.02 Ver.1.01 High-precision Analog input board (Low Profile size) for PCI Express AI-1616L-LPE This product is a multi-function, PCI Express bus-compliant interface board that incorporates high-precision 16-bit analog

More information

Chapter 7 Memory and Programmable Logic

Chapter 7 Memory and Programmable Logic EEA091 - Digital Logic 數位邏輯 Chapter 7 Memory and Programmable Logic 吳俊興國立高雄大學資訊工程學系 2006 Chapter 7 Memory and Programmable Logic 7-1 Introduction 7-2 Random-Access Memory 7-3 Memory Decoding 7-4 Error

More information

AD9884A Evaluation Kit Documentation

AD9884A Evaluation Kit Documentation a (centimeters) AD9884A Evaluation Kit Documentation Includes Documentation for: - AD9884A Evaluation Board - SXGA Panel Driver Board Rev 0 1/4/2000 Evaluation Board Documentation For the AD9884A Purpose

More information

The ATLAS Tile Calorimeter, its performance with pp collisions and its upgrades for high luminosity LHC

The ATLAS Tile Calorimeter, its performance with pp collisions and its upgrades for high luminosity LHC The ATLAS Tile Calorimeter, its performance with pp collisions and its upgrades for high luminosity LHC Tomas Davidek (Charles University), on behalf of the ATLAS Collaboration Tile Calorimeter Sampling

More information

Diamond detectors in the CMS BCM1F

Diamond detectors in the CMS BCM1F Diamond detectors in the CMS BCM1F DESY (Zeuthen) CARAT 2010 GSI, 13-15 December 2010 On behalf of the DESY BCM and CMS BRM groups 1 Outline: 1. Introduction to the CMS BRM 2. BCM1F: - Back-End Hardware

More information

FPGA Based Data Read-Out System of the Belle 2 Pixel Detector

FPGA Based Data Read-Out System of the Belle 2 Pixel Detector FPGA Based Read-Out System of the Belle 2 Pixel Detector Dmytro Levit, Igor Konorov, Daniel Greenwald, Stephan Paul Technische Universität München arxiv:1406.3864v1 [physics.ins-det] 15 Jun 2014 Abstract

More information

VRT Radio Transport for SDR Architectures

VRT Radio Transport for SDR Architectures VRT Radio Transport for SDR Architectures Robert Normoyle, DRS Signal Solutions Paul Mesibov, Pentek Inc. Agenda VITA Radio Transport (VRT) standard for digitized IF DRS-SS VRT implementation in SDR RF

More information

KEK. Belle2Link. Belle2Link 1. S. Nishida. S. Nishida (KEK) Nov.. 26, Aerogel RICH Readout

KEK. Belle2Link. Belle2Link 1. S. Nishida. S. Nishida (KEK) Nov.. 26, Aerogel RICH Readout S. Nishida KEK Nov 26, 2010 1 Introduction (Front end electronics) ASIC (SA) Readout (Digital Part) HAPD (144ch) Preamp Shaper Comparator L1 buffer DAQ group Total ~ 500 HAPDs. ASIC: 36ch per chip (i.e.

More information

EE178 Lecture Module 4. Eric Crabill SJSU / Xilinx Fall 2005

EE178 Lecture Module 4. Eric Crabill SJSU / Xilinx Fall 2005 EE178 Lecture Module 4 Eric Crabill SJSU / Xilinx Fall 2005 Lecture #9 Agenda Considerations for synchronizing signals. Clocks. Resets. Considerations for asynchronous inputs. Methods for crossing clock

More information

Chapter Contents. Appendix A: Digital Logic. Some Definitions

Chapter Contents. Appendix A: Digital Logic. Some Definitions A- Appendix A - Digital Logic A-2 Appendix A - Digital Logic Chapter Contents Principles of Computer Architecture Miles Murdocca and Vincent Heuring Appendix A: Digital Logic A. Introduction A.2 Combinational

More information

MODULE 3. Combinational & Sequential logic

MODULE 3. Combinational & Sequential logic MODULE 3 Combinational & Sequential logic Combinational Logic Introduction Logic circuit may be classified into two categories. Combinational logic circuits 2. Sequential logic circuits A combinational

More information

Using on-chip Test Pattern Compression for Full Scan SoC Designs

Using on-chip Test Pattern Compression for Full Scan SoC Designs Using on-chip Test Pattern Compression for Full Scan SoC Designs Helmut Lang Senior Staff Engineer Jens Pfeiffer CAD Engineer Jeff Maguire Principal Staff Engineer Motorola SPS, System-on-a-Chip Design

More information

Chapter 4: One-Shots, Counters, and Clocks

Chapter 4: One-Shots, Counters, and Clocks Chapter 4: One-Shots, Counters, and Clocks I. The Monostable Multivibrator (One-Shot) The timing pulse is one of the most common elements of laboratory electronics. Pulses can control logical sequences

More information

Zebra2 (PandA) Functionality and Development. Isa Uzun and Tom Cobb

Zebra2 (PandA) Functionality and Development. Isa Uzun and Tom Cobb Zebra2 (PandA) Functionality and Development Isa Uzun and Tom Cobb Control Systems Group 27 April 2016 Outline Part - I ZEBRA and Motivation Hardware Architecture Functional Capabilities Part - II Software

More information