SINGULATION BY PLASMA ETCHING. INTEGRATION TECHNIQUES TO ENABLE LOW DAMAGE, HIGH PRODUCTIVITY DICING.

Size: px
Start display at page:

Download "SINGULATION BY PLASMA ETCHING. INTEGRATION TECHNIQUES TO ENABLE LOW DAMAGE, HIGH PRODUCTIVITY DICING."

Transcription

1 SINGULATION BY PLASMA ETCHING. INTEGRATION TECHNIQUES TO ENABLE LOW DAMAGE, HIGH PRODUCTIVITY DICING. Richard Barnett Dave Thomas Oliver Ansell ABSTRACT Plasma dicing has rapidly gained traction as a viable alternative to conventional blade and laser techniques for wafer singulation. This has been due mostly to the significant benefits plasma dicing delivers in relation to the quality and reliability of devices singulated in this manner. Key to the successful integration of plasma dicing, into the established hierarchy of singulation techniques, is how the ancillary parts of the process flow can be utilized or adapted to accommodate it. More importantly, is the ease at which this can happen and also, how implementation can be achieved in a cost effective manner. INTRODUCTION Deep silicon etching, using the Bosch process, has proven itself to be a ubiquitous technique for all aspects of the semiconductor and allied industries. The MEMS market owes it s existence to this approach, 3D stacking with TSVs would not be possible and now it has turned its sights on exacting a step change in performance and quality for the backend fab space. Much has been written and presented over recent times regarding the redeployment of DRIE as a means of singulating semiconductor die. Here, we will consider the process control techniques available on the DRIE process hardware and the advantages they bring to this application. But, also there will be an examination of how DRIE, in concert with the conventional dicing techniques, may offer broader benefits than if they were considered as competing for sole dominance of the market. BOSCH PROCESS The Bosch process (1) was developed as a means of creating deep anisotropic structures where conventional single step plasma or wet etching could not work. This technique uses a repeating cycle of distinct steps to create anisotropic silicon etching. The steps include a passivation step to protect the sidewall, a polymer removal step to clear the base of the etch front to expose next silicon to be etched and finally an isotropic silicon etch. This cycle is repeated as many times as is necessary to etch the target feature. This is illustrated in Figure 1, below. Figure 1 Basics of Bosch Process The Bosch process was first transferred into a productionised plasma etch tool by Surface Technology Systems plc (STS), one of SPTS predecessors. Many of the improvements to the first embodiment of the Bosch process were developed and introduced to the MEMS market by STS. These included methods of managing process conditions during the process time (Parameter Ramping) and dealing with the process conditions when buried layers were introduced (SOI) (2,3,4). Today, the range of devices and structures fabricated using the Bosch process is considerably broader than it was when first trialled in the mid 1990s (See Figure 2, below). But, when the complex structures used for inertial sensors or biomedical devices are broken down to their simplest form, we are simply etching trenches in silicon. This direct comparison with dicing lanes was the main contributor to the introduction of this technology for the backend singulation activities. 1 Copyright 2015 by ASME

2 Figure 3 Notching at buried layers Figure 2 Range of features etched using Bosch process NOTCHING For MEMS, in particular, there are many examples of devices etched to a buried and insulating dielectric layer. In most cases, this layer exists purely as a sacrificial element which when removed allows the complex MEMS structures, formed by the Bosch process, to move freely. A parallel, of sorts, in the dicing world is the dicing tape, upon which wafers are mounted for the singulation process to take place. This is, in effect, a sacrificial layer, but more importantly, like the buried dielectric in the MEMS world, can cause some unwanted side-effects during the plasma dicing process. When the Bosch process is used to etch silicon down to an insulating layer, a build-up of charge can occur on that layer, which will deflect ions laterally into the sidewall of the feature causing a notch (See Figure 3, below). Normally, when considering the interdigitated fingers of an inertial device, some notching can be accommodated. However, when the phenomena is transferred to the underside of an active device which is being picked and placed onto a tape reel or other such receptacle, then the risk of damage or compromise is much higher. Consider the uncontrolled creation of a notch on a singulated die. Left unchecked, the constant deflection of ions into the sidewall would remove any of the protective sidewall passivation generated by the Bosch process. This leaves the device sidewall exposed to the free fluorine which isotropically etches the silicon. The longer this occurs the larger the lateral etch into the silicon sidewall becomes. The risk is increased when the use of an overetch has to be implemented. Overetch is the stage of additional etch time after the first portion of the etch reaches the buried layer, in this instance the dicing tape. The overetch is used to ensure the non-uniformity of the bulk etch is managed so that all areas of the wafer receive sufficient etch to remove all of the necessary silicon. The worst case scenario is where the etch front reaching the tape is not detected, but simply a timed etch is applied based on the bulk etch rate. This does not take into account any variation in the wafer thickness nor any change in the etch chamber performance. The ideal case requires an endpoint method able to detect the change in plasma conditions commensurate with reaching the tape. This would require an endpoint capability for low exposed silicon areas. This case would better allow for the minimal application of overetch and mitigate any risk due to excessive etch being applied to the wafer. The low exposed silicon area of the wafers to be singulated can pose a challenge to most endpoint techniques. SPTS developed Claritas (6) to provide clear endpoint capability even when the open area of a wafer was <1%. In the case of plasma dicing, the open area has been observed as <0.001%. Claritas has been able to detect a sufficiently strong signal change, at this level, 2 Copyright 2015 by ASME

3 Figure 4 Claritas TM endpoint performance versus standard OES It is clear that the erosion of silicon within the die footprint can be considered as large a risk to the device integrity as the chips and cracks seen from the conventional blade and laser dicing techniques. Of course, the MEMS world required control of this notching and methods for preventing it have already been implemented and are applicable to the dicing case as well. One of the techniques developed when the Bosch process was first introduced was a management of the standing charge by pulsing the bias RF during the etch. By having the RF off for a period of time during each of the Bosch steps, there would be time for the charge to dissipate. This would prevent deflection of incoming ions and reduce the lateral erosion and hence the notching. In combination with the pulsed bias RF, use of endpoint is critical in avoiding the creation of notching. By detecting that the etch front has reached the tape as early as possible gives the maximum possible headroom to apply the most appropriate overetch. The ability to apply endpoint detection to the overetch step itself can also further protect the device and tape. LANE DEFINITION When considering the Bosch process for a more conventional silicon etch, the appropriate definition of the features to be etched is one of the fundamental aspects. Plasma dicing is no different but not so easily achieved. Consider the substrate to be patterned; existing patterning, thinned (<200µm), surface topography, option to be mounted on to tape frame. None of these conditions provide the best case for use of conventional patterning methodologies. Photolithography can still be included as an option. However, some users may not want the additional steps and associated costs to be added at this stage of the process flow. As per any normal silicon etch, use of photolithography with a PR or oxide mask would provide sound patterning for the etch process. Clearly, sufficient material would be required in order to cope with the selectivity of the etch process and any surface topography, including solder bumps. Figure 7 Use of additional mask to pattern lane for dicing Figure 5 Claritas EPD traces for dicing, including overetch More importantly, the tight process control gained from this will improve throughput, eliminating costly extended timed etches. The images (Figure 6) below highlight what can occur if it is not used to mitigate the situation. Figure 6 Notch control with EPD (Left) and without (Right) For certain categories of device; e.g. silicon submounts, chipcard and PV, the photolithography approach should be the default choice. However, for memory, logic and some MEMS, these require some tangential thinking to provide a defined lane ready for the plasma etch to take place. None of this would affect material within the lanes that could have an impact on the integration of plasma dicing. Current plasma dicing technology is based on silicon DRIE etch and whilst the process modules, such as SPTS Rapier-S, can also do a reasonable job of etching dielectric layers they cannot etch metals. In fact, the chemistries and conditions required for etching metals are not typically compatible with the tapes and frames utilized in the singulation process. Without any additional steps, it is possible to produce defined lanes simply by modifying steps earlier in the process flow, potentially also dealing with the metals issue at the same time. It is suggested that with minor modifications to the mask layouts, removal of the metal and dielectric materials from the lanes can be undertaken at the patterning steps, as they occur throughout the process flow. By considering that to adopt this would require designer time and mask sets, it would be a oneoff cost. However, this would have the secondary effect of eliminating the test structures that normally occupy the dicing 3 Copyright 2015 by ASME

4 lane rather than taking up die footprint. This would be a problem and could prevent this scheme from being used. There are two ways of countering this aspect. Benefits of moving to DRIE for dicing have been reported elsewhere (4, 5, 7) and several of these pertain to the ability of designers to free up real estate by narrowing lanes and changing die shape and arrangement. With this in mind, it would be possible to move the test structures into a region previously used for devices. E.g. For 1mm² die, a reduction in lane width could see approximately 20% increase in die per wafer. It is easily conceivable that it would be possible to convert some of those additional die locations into test structures and still retain most of the gain from the design change. This would allow use of the option to retain the existing upper materials as mask and definition for the dicing lane etch. Figure 8 Use of upper layers to define dicing lane for etch Figure 9 Device with existing layers used as mask for dicing etch The alternative approach is to use a combination of the existing blade and/or laser dicing and plasma to effect the singulation. Irrespective of the material in the lanes, there have always been blade and laser solutions for singulation. This can be advantageous when considering definition of lanes for DRIE. It is the impact on die integrity that is the major detractor for the conventional methods. But, when considering them as a direct write for defining the lane for subsequent plasma etch these issues should not come into play. The chipping related to blade dicing would only occur when considering the cut through the whole wafer thickness, this need not be the case when only a cut through the upper layers is completed. Using laser to ablate the upper layers followed by a clean-up of the debris using a blade can also be an option. Neither technique requires an additional masking step with the normal co-ordinate control and alignment of conventional dicing systems applied. The capability to use blade/laser as a patterning step opens up many possibilities. Of course, retaining these techniques as a support mechanism for plasma etch means that some of the benefits may not be extracted. E.g. reduction of lane width, increased pattern density, flexibility of die shape & location. Figure 10 Dicing lane defined with laser and blade Further studies are required to determine the most suitable combined approach and how the different steps need to be optimized to truly work in concert with one another for thinner wafers, smaller die. An in-depth study which considers the die strength behavior of each scheme in direct comparison with one another will also be reported on. DICING TAPES Introduction of a new process technique can be difficult if it requires changes to established protocols or uses new or novel materials. A DRIE solution for dicing would not be totally unfamiliar to frontend fabs and users. However, it presents a new set of criteria to backend fab users, and the frontend vendor also needs to take account of the substrates and protocols used in this area including; materials of substrates, treatment of material, recovery of broken wafers. Figure 11 Broken wafers remounted to complete processing The most significant aspect of the framed substrate is the tape as it serves as the sole preserver of mechanical integrity for the wafer before and after singulation. In order to ease the introduction of plasma based dicing, accommodation of existing tapes, and frames, was the primary aspect included in the SPTS design brief. No element of bespoke material was considered 4 Copyright 2015 by ASME

5 due to the breadth of the existing infrastructure and the likely resistance to significant change. So an understanding of the materials is paramount to ensure the DRIE system can manage them successfully. When considering the conditions the backend substrate, and therefore the tape, has to endure it is wise to understand how the tape would be behave. For such an established process step as singulation, it can be surprising how many variables there still are when considering the tapes available. This is a reflection of the breadth of device types now being fabricated across the industry. Table 1, below, shows a variety of the tape materials used and some of their properties. Table 1 Examples of tapes used for dicing applications From the considerable range of samples processed it is clear that the tape does not affect the silicon etch performance. All aspects, including clamp, declamp and the etch itself, can be carried out irrespective of the tape used to mount the wafer. This is a very positive indictment of the approach taken in the engineering of the Rapier-S that, in effect, that plasma etch for dicing has been normalized and is a true parallel to silicon etch for MEMS or advanced packaging applications. Figure 12 Example of die singulated with plasma etch; lane defined by laser and blade SUMMARY The work described above is a snapshot of the effort being put together to integrate a frontend technology to a critical backend function. It is clear, however, that DRIE is already a viable and available option for wafer singulation. Some aspects of the tasks necessary for the integration of DRIE into the backend do require additional steps in the main flow to accommodate it. However, schemes have also been suggested which make use of the conventional dicing technologies in combination with DRIE bringing together the best of all. Finally, it is still early in the adoption cycle for DRIE but the basis for future work has been laid down. ACKNOWLEDGMENTS I would like to thank Oliver Ansell, Joanne Carpenter and Will Worster, all of SPTS, for their efforts in the dicing processing and analysis. I would also like to acknowledge the support and collaboration of DISCO in generating some of the data included in this paper. REFERENCES (1) Laermer, F, Schlip A, Method of anisotropically etching silicon. US Patent (2) D.M.Haynes, B.Khamsehpour, H.Ashraf, J.Hopkins, J.K.Bhardwaj, A.M.Hynes, M.E.Ryan Patent No. US , (3) A.M.Hynes, H.Ashraf, J.K.Bhardwaj, J.Hopkins, I.Johnston, J.N.Shepherd Sens.Actuators, 74, 13, (4) Barnett, R, et al, A New Plasma Source for Next Generation MEMS Deep Si Etching, 60th Electronic Components and Technology Conference, Las Vegas, NV, June 2010, pp (5) Barnett, R, et al, Yield and productivity improvements through use of advanced dual plasma source for TSV reveal &wafer dicing applications, 13th Electronics Packaging Technology Conference, Singapore, December 2011, pp (6) Ansell, O, et al Claritas TM A Unique And Robust Endpoint Technology For Silicon Drie Processes With Open Area Down To 0.05%, To Be Published at 27th IEEE Conference on Micro Electro Mechanical Systems (MEMS 2014), San Francisco CA, January (7) Barnett, R. ; SPTS Technol. Ltd., ; Ansell, O. ; Thomas, D. Considerations and benefits of plasma etch based wafer dicing, Electronics Packaging Technology Conference (EPTC 2013), 2013 IEEE 15 th pp Copyright 2015 by ASME

Plasma dicing 300mm framed wafers - Analysis of improvement in die strength and cost benefits for thin die singulation

Plasma dicing 300mm framed wafers - Analysis of improvement in die strength and cost benefits for thin die singulation 2017 IEEE 67th Electronic Components and Technology Conference Plasma dicing 300mm framed wafers - Analysis of improvement in die strength and cost benefits for thin die singulation Richard Barnett SPTS

More information

Wafer Thinning and Thru-Silicon Vias

Wafer Thinning and Thru-Silicon Vias Wafer Thinning and Thru-Silicon Vias The Path to Wafer Level Packaging jreche@trusi.com Summary A new dry etching technology Atmospheric Downstream Plasma (ADP) Etch Applications to Packaging Wafer Thinning

More information

Applied Materials. 200mm Tools & Process Capabilities For Next Generation MEMS. Dr Michel (Mike) Rosa

Applied Materials. 200mm Tools & Process Capabilities For Next Generation MEMS. Dr Michel (Mike) Rosa Applied Materials 200mm Tools & Process Capabilities For Next Generation MEMS Dr Michel (Mike) Rosa 200mm MEMS Global Product / Marketing Manager, Components and Systems Group (CSG), Applied Global Services

More information

Deep Silicon Etch Technology for Advanced MEMS Applications

Deep Silicon Etch Technology for Advanced MEMS Applications Deep Silicon Etch Technology for Advanced MEMS Applications Shenjian Liu, Ph.D. Managing Director, AMEC AMEC Company Profile and Product Line-up AMEC HQ, R&D and MF Facility in Shanghai AMEC Taiwan AMEC

More information

Advanced WLP Platform for High-Performance MEMS. Presented by Dean Spicer, Director of Engineering

Advanced WLP Platform for High-Performance MEMS. Presented by Dean Spicer, Director of Engineering Advanced WLP Platform for High-Performance MEMS Presented by Dean Spicer, Director of Engineering 1 May 11 th, 2016 1 Outline 1. Application Drivers for High Performance MEMS Sensors 2. Approaches to Achieving

More information

Reduction of Device Damage During Dry Etching of Advanced MMIC Devices Using Optical Emission Spectroscopy

Reduction of Device Damage During Dry Etching of Advanced MMIC Devices Using Optical Emission Spectroscopy Reduction of Device Damage During Dry Etching of Advanced MMIC Devices Using Optical Emission Spectroscopy D. Johnson, R. Westerman, M. DeVre, Y. Lee, J. Sasserath Unaxis USA, Inc. 10050 16 th Street North

More information

High aspect ratio deep RIE for novel 3D radiation sensors in high energy physics applications

High aspect ratio deep RIE for novel 3D radiation sensors in high energy physics applications High aspect ratio deep RIE for novel 3D radiation sensors in high energy physics applications Angela Kok, Thor-Erik Hansen, Trond Hansen, Geir Uri Jensen, Nicolas Lietaer, Michal Mielnik, Preben Storås

More information

LEP400 Etch Depth Monitor Real-time, in-situ plasma etch depth monitoring and end point control plus co-linear wafer vision system

LEP400 Etch Depth Monitor Real-time, in-situ plasma etch depth monitoring and end point control plus co-linear wafer vision system LEP400 Etch Depth Monitor Real-time, in-situ plasma etch depth monitoring and end point control plus co-linear wafer vision system Base Configuration Etch Depth Monitoring LEP400 Recessed Window Plasma

More information

Sub-micron high aspect ratio silicon beam etch

Sub-micron high aspect ratio silicon beam etch Sub-micron high aspect ratio silicon beam etch Gary J. O Brien a,b, David J. Monk b, and Khalil Najafi a a Center for Wireless Integrated Microsystems, Dept. of Electrical Engineering and Computer Science

More information

Flexible Electronics Production Deployment on FPD Standards: Plastic Displays & Integrated Circuits. Stanislav Loboda R&D engineer

Flexible Electronics Production Deployment on FPD Standards: Plastic Displays & Integrated Circuits. Stanislav Loboda R&D engineer Flexible Electronics Production Deployment on FPD Standards: Plastic Displays & Integrated Circuits Stanislav Loboda R&D engineer The world-first small-volume contract manufacturing for plastic TFT-arrays

More information

Leveraging 300 mm Technology Solutions to Enable New MEMS Process Capabilities

Leveraging 300 mm Technology Solutions to Enable New MEMS Process Capabilities Leveraging 300 mm Technology Solutions to Enable New MEMS Process Capabilities Evan Patton Semicon Europa November 2017 Lam Research Corp. 1 Presentation Outline The Internet of Things (IoT) as a market

More information

Technology Overview LTCC

Technology Overview LTCC Sheet Code RFi0604 Technology Overview LTCC Low Temperature Co-fired Ceramic (LTCC) is a multilayer ceramic substrate technology that allows the realisation of multiple embedded passive components (Rs,

More information

Advances in Roll-to-Roll Imprint Lithography for Display Applications Using Self Aligned Imprint Lithography. John G Maltabes HP Labs

Advances in Roll-to-Roll Imprint Lithography for Display Applications Using Self Aligned Imprint Lithography. John G Maltabes HP Labs Advances in Roll-to-Roll Imprint Lithography for Display Applications Using Self Aligned Imprint Lithography John G Maltabes HP Labs Outline Introduction Roll to Roll Challenges and Benefits HP Labs Roll

More information

Challenges in the design of a RGB LED display for indoor applications

Challenges in the design of a RGB LED display for indoor applications Synthetic Metals 122 (2001) 215±219 Challenges in the design of a RGB LED display for indoor applications Francis Nguyen * Osram Opto Semiconductors, In neon Technologies Corporation, 19000, Homestead

More information

Principles of Electrostatic Chucks 6 Rf Chuck Edge Design

Principles of Electrostatic Chucks 6 Rf Chuck Edge Design Principles of Electrostatic Chucks 6 Rf Chuck Edge Design Overview This document addresses the following chuck edge design issues: Device yield through system uniformity and particle reduction; System

More information

Organic light emitting diode (OLED) displays

Organic light emitting diode (OLED) displays Ultra-Short Pulse Lasers Enable Precision Flexible OLED Cutting FLORENT THIBAULT, PRODUCT LINE MANAGER, HATIM HALOUI, APPLICATION MANAGER, JORIS VAN NUNEN, PRODUCT MARKETING MANAGER, INDUSTRIAL PICOSECOND

More information

InvenSense Fabless Model for the MEMS Industry

InvenSense Fabless Model for the MEMS Industry InvenSense Fabless Model for the MEMS Industry HKSTP Symposium Aug 2016 InvenSense, Inc. Proprietary Outline MEMS Market InvenSense CMOS-MEMS Integration InvenSense Shuttle Program and Process MEMS MARKET

More information

CMP and Current Trends Related to Advanced Packaging

CMP and Current Trends Related to Advanced Packaging CMP and Current Trends Related to Advanced Packaging Robert L. Rhoades, Ph.D. NCCAVS TFUG-CMPUG Joint Meeting June 7, 2017 Semiconductor Equipment Spare Parts and Service CMP Foundry Foundry Click to edit

More information

High performance optical blending solutions

High performance optical blending solutions High performance optical blending solutions WHY OPTICAL BLENDING? Essentially it is all about preservation of display dynamic range. Where projected images overlap in a multi-projector display, common

More information

Advancements in Acoustic Micro-Imaging Tuesday October 11th, 2016

Advancements in Acoustic Micro-Imaging Tuesday October 11th, 2016 Central Texas Electronics Association Advancements in Acoustic Micro-Imaging Tuesday October 11th, 2016 A review of the latest advancements in Acoustic Micro-Imaging for the non-destructive inspection

More information

Nano-Imprint Lithography Infrastructure: Imprint Templates

Nano-Imprint Lithography Infrastructure: Imprint Templates Nano-Imprint Lithography Infrastructure: Imprint Templates John Maltabes Photronics, Inc Austin, TX 1 Questions to keep in mind Imprint template manufacturability Resolution Can you get sub30nm images?

More information

Introduction to. Micragem: A Silicon-on-Insulator Based Micromachining Process. Report ICI-138 V3.0 (Beta version)

Introduction to. Micragem: A Silicon-on-Insulator Based Micromachining Process. Report ICI-138 V3.0 (Beta version) Introduction to Micragem: A Silicon-on-Insulator Based Micromachining Process Report ICI-138 V3.0 (Beta version) December 14, 2004 Copyright 2004 Canadian Microelectronics Corporation This document was

More information

Transforming Electronic Interconnect Breaking through historical boundaries Tim Olson Founder & CTO

Transforming Electronic Interconnect Breaking through historical boundaries Tim Olson Founder & CTO Transforming Electronic Interconnect Breaking through historical boundaries Tim Olson Founder & CTO Remember when? There were three distinct industries Wafer Foundries SATS EMS Semiconductor Devices Nanometers

More information

Through Silicon Via Testing Known Good Die (KGD) or Probably Good Die (PGD) Doug Lefever Advantest

Through Silicon Via Testing Known Good Die (KGD) or Probably Good Die (PGD) Doug Lefever Advantest Through Silicon Via Testing Known Good Die (KGD) or Probably Good Die (PGD) Doug Lefever Advantest Single Die Fab Yield will drive Cost Equation. Yield of the device to be stacked 100% 90% 80% Yield of

More information

Overcoming Challenges in 3D NAND Volume Manufacturing

Overcoming Challenges in 3D NAND Volume Manufacturing Overcoming Challenges in 3D NAND Volume Manufacturing Thorsten Lill Vice President, Etch Emerging Technologies and Systems Flash Memory Summit 2017, Santa Clara 2017 Lam Research Corp. Flash Memory Summit

More information

Figure 2: components reduce board area by 57% over 0201 components, which themselves reduced board area by 66% over 0402 types (source Murata).

Figure 2: components reduce board area by 57% over 0201 components, which themselves reduced board area by 66% over 0402 types (source Murata). 01005 production goes industry wide Satoshi Kataoka, Production Manager, Assembléon Asia Pacific Region and Eric Klaver, Commercial Product Manager, Assembléon, The Netherlands The introduction of the

More information

Self-Aligned Double Patterning for 3xnm Flash Production

Self-Aligned Double Patterning for 3xnm Flash Production Self-Aligned Double Patterning for 3xnm Flash Production Chris Ngai Dir of Process Engineering & Lithography Maydan Technology Center Group Applied Materials, Inc. July 16 th, 2008 Overview Double Patterning

More information

Etching Part 2. Saroj Kumar Patra. TFE4180 Semiconductor Manufacturing Technology. Norwegian University of Science and Technology ( NTNU )

Etching Part 2. Saroj Kumar Patra. TFE4180 Semiconductor Manufacturing Technology. Norwegian University of Science and Technology ( NTNU ) 1 Etching Part 2 Chapter : 16 Semiconductor Manufacturing Technology by M. Quirk & J. Serda Spring Semester 2014 Saroj Kumar Patra, Norwegian University of Science and Technology ( NTNU ) 2 Introduction

More information

MEMS WAFER-LEVEL PROCESSES

MEMS WAFER-LEVEL PROCESSES MEMS WAFER-LEVEL PROCESSES Ken Gilleo PhD - Ken@T-Trends.com ET-Trends LLC West Greenwich, RI ABSTRACT MEMS could become a hallmark technology for the 21 st century. Ability to sense, analyze, compute,

More information

9 rue Alfred Kastler - BP Nantes Cedex 3 - France Phone : +33 (0) website :

9 rue Alfred Kastler - BP Nantes Cedex 3 - France Phone : +33 (0) website : 9 rue Alfred Kastler - BP 10748-44307 Nantes Cedex 3 - France Phone : +33 (0) 240 180 916 - email : info@systemplus.fr - website : www.systemplus.fr January 2012 Written by: Maher SAHMIMI DISCLAIMER :

More information

Advanced MEMS Packaging

Advanced MEMS Packaging Advanced MEMS Packaging John H. Lau Chengkuo Lee C. S. Premachandran Yu Aibin Ш New York Chicago San Francisco Lisbon London Madrid Mexico City Milan New Delhi San Juan Seoul Singapore Sydney Toronto Contents

More information

BTC and SMT Rework Challenges

BTC and SMT Rework Challenges BTC and SMT Rework Challenges Joerg Nolte Ersa GmbH Wertheim, Germany Abstract Rising customer demands in the field of PCB repair are a daily occurrence as the rapid electronic industry follows new trends

More information

Defense Technical Information Center Compilation Part Notice

Defense Technical Information Center Compilation Part Notice UNCLASSIFIED Defense Technical Information Center Compilation Part Notice ADPO1 1322 TITLE: Amorphous- Silicon Thin-Film Transistor With Two-Step Exposure Process DISTRIBUTION: Approved for public release,

More information

HB LEDs & OLEDs. Complete thin film process solutions

HB LEDs & OLEDs. Complete thin film process solutions HB LEDs & OLEDs Complete thin film process solutions Get off to a flying start for all your LED thin film deposition and etch processes From 2 inch to 8 inch Manual or fully automated substrate handling

More information

2016, Amkor Technology, Inc.

2016, Amkor Technology, Inc. 1 Standardization of Packaging for the Internet of Things Adrian Arcedera l VP of MEMS and Sensor Products 2 About Amkor Technology Amkor Technology, Inc. is one of the world's largest and most accomplished

More information

AIXTRON in EXCILIGHT project

AIXTRON in EXCILIGHT project AIXTRON SE AIXTRON in EXCILIGHT project Gintautas Simkus ABOUT AIXTRON 2 Who we are Headquarter based in Herzogenrath, Germany Worldwide presence with 14 sales/representatives offices and production facilities

More information

Verification of HBM through Direct Probing on MicroBumps

Verification of HBM through Direct Probing on MicroBumps Verification of HBM through Direct Probing on MicroBumps FormFactor Sung Wook Moon SK hynix Outline HBM market HBM test flow Device structure overview Key test challenges addressed Signal delivery and

More information

2016, Amkor Technology, Inc.

2016, Amkor Technology, Inc. 1 Standardization of Packaging for the Internet of Things Adrian Arcedera l VP of MEMS and Sensor Products 2 About Amkor Technology Amkor Technology, Inc. is one of the world's largest and most accomplished

More information

Mini-Circuits Engineering Department P. O. Box , Brooklyn, NY ; (718) , FAX: (718)

Mini-Circuits Engineering Department P. O. Box , Brooklyn, NY ; (718) , FAX: (718) WiMAX MIXER PROVIDES HIGH IP3 Upconverter Mixer Makes Most of LTCC for WiMAX Applications This high-performance mixer leverages LTCC, semiconductor technology, and patented circuit techniques to achieve

More information

Facedown Terminations Improve Ripple Current Capability

Facedown Terminations Improve Ripple Current Capability Facedown Terminations Improve Ripple Current Capability John Prymak 1,Peter Blais 2, Bill Long 3 KEMET Electronics Corp. PO Box 5928, Greenville, SC 29606 1 66 Concord St., Suite Z, Wilmington, MA 01887

More information

THE NEW LASER FAMILY FOR FINE WELDING FROM FIBER LASERS TO PULSED YAG LASERS

THE NEW LASER FAMILY FOR FINE WELDING FROM FIBER LASERS TO PULSED YAG LASERS FOCUS ON FINE SOLUTIONS THE NEW LASER FAMILY FOR FINE WELDING FROM FIBER LASERS TO PULSED YAG LASERS Welding lasers from ROFIN ROFIN s laser sources for welding satisfy all criteria for the optimized laser

More information

High Power ARNS/IFF Limiter Module: Ultra Low Flat Leakage & Fast Recovery Time

High Power ARNS/IFF Limiter Module: Ultra Low Flat Leakage & Fast Recovery Time RELEASED RFLM-961122MC-299 High Power ARNS/IFF Limiter Module: Ultra Low Flat Leakage & Fast Recovery Time Features: SMT Limiter Module: 8mm x 5mm x 2.5mm Frequency Range: 960 MHz to 1,215 MHz High Average

More information

VLSI Chip Design Project TSEK06

VLSI Chip Design Project TSEK06 VLSI Chip Design Project TSEK06 Project Description and Requirement Specification Version 1.1 Project: High Speed Serial Link Transceiver Project number: 4 Project Group: Name Project members Telephone

More information

High Repetition Rate USP Lasers Improve OLED Cutting Results

High Repetition Rate USP Lasers Improve OLED Cutting Results Coherent White Paper May 7, 2018 High Repetition Rate USP Lasers Improve OLED Cutting Results High power ultraviolet, picosecond industrial lasers are widely employed because of their proven ability to

More information

Multilevel Beam SOI-MEMS for Optical Applications

Multilevel Beam SOI-MEMS for Optical Applications pp. 281-285 Multilevel Beam SOI-MEMS for Optical Applications Veljko Milanović Adriatic Research Institute 2131 University Ave., Suite 322, Berkeley, CA 94704 veljko@adriaticresearch.org Abstract A microfabrication

More information

Next Generation of Poly-Si TFT Technology: Material Improvements and Novel Device Architectures for System-On-Panel (SOP)

Next Generation of Poly-Si TFT Technology: Material Improvements and Novel Device Architectures for System-On-Panel (SOP) Next Generation of Poly-Si TFT Technology: Material Improvements and Novel Device Architectures for System-On-Panel (SOP) Tolis Voutsas* Paul Schuele* Bert Crowder* Pooran Joshi* Robert Sposili* Hidayat

More information

High ResolutionCross Strip Anodes for Photon Counting detectors

High ResolutionCross Strip Anodes for Photon Counting detectors High ResolutionCross Strip Anodes for Photon Counting detectors Oswald H.W. Siegmund, Anton S. Tremsin, Robert Abiad, J. Hull and John V. Vallerga Space Sciences Laboratory University of California Berkeley,

More information

Single-Step CMOS Compatible Fabrication of High Aspect Ratio Microchannels Embedded in Silicon

Single-Step CMOS Compatible Fabrication of High Aspect Ratio Microchannels Embedded in Silicon Delft University of Technology Single-Step CMOS Compatible Fabrication of High Aspect Ratio Microchannels Embedded in Silicon Kluba, Marta; Arslan, Aslihan; Stoute, Ronald; Muganda, James; Dekker, Ronald

More information

Because Innovation Matters

Because Innovation Matters Because Innovation Matters Silicon Systems Group Toru Watanabe President, Applied Materials, Japan Semicon Japan November 30, 2010 Safe Harbor This presentation contains forward-looking statements, including

More information

3D-CHIP TECHNOLOGY AND APPLICATIONS OF MINIATURIZATION

3D-CHIP TECHNOLOGY AND APPLICATIONS OF MINIATURIZATION 3D-CHIP TECHNOLOGY AND APPLICATIONS OF MINIATURIZATION 23.08.2018 I DAVID ARUTINOV CONTENT INTRODUCTION TRENDS AND ISSUES OF MODERN IC s 3D INTEGRATION TECHNOLOGY CURRENT STATE OF 3D INTEGRATION SUMMARY

More information

Optimizing BNC PCB Footprint Designs for Digital Video Equipment

Optimizing BNC PCB Footprint Designs for Digital Video Equipment Optimizing BNC PCB Footprint Designs for Digital Video Equipment By Tsun-kit Chin Applications Engineer, Member of Technical Staff National Semiconductor Corp. Introduction An increasing number of video

More information

GENCOA Key Company Facts. GENCOA is a private limited company (Ltd) Founded 1995 by Dr Dermot Monaghan. Located in Liverpool, UK

GENCOA Key Company Facts. GENCOA is a private limited company (Ltd) Founded 1995 by Dr Dermot Monaghan. Located in Liverpool, UK GENCOA Key Company Facts GENCOA is a private limited company (Ltd) Founded 1995 by Dr Dermot Monaghan Located in Liverpool, UK Employs 34 people 6 design (Pro E 3D CAD) 4 process development & simulation

More information

Mechanical aspects, FEA validation and geometry optimization

Mechanical aspects, FEA validation and geometry optimization RF Fingers for the new ESRF-EBS EBS storage ring The ESRF-EBS storage ring features new vacuum chamber profiles with reduced aperture. RF fingers are a key component to ensure good vacuum conditions and

More information

Perfecting the Package Bare and Overmolded Stacked Dies. Understanding Ultrasonic Technology for Advanced Package Inspection. A Sonix White Paper

Perfecting the Package Bare and Overmolded Stacked Dies. Understanding Ultrasonic Technology for Advanced Package Inspection. A Sonix White Paper Perfecting the Package Bare and Overmolded Stacked Dies Understanding Ultrasonic Technology for Advanced Package Inspection A Sonix White Paper Perfecting the Package Bare and Overmolded Stacked Dies Understanding

More information

Possible Paths for Cu CMP

Possible Paths for Cu CMP Possible Paths for Cu CMP J.S. Drewery, V. Hardikar, S.T. Mayer, H. Meinhold, F. Juarez, and J. Svirchevski Presented by Julia Svirchevski Agenda Perceived Need for ECMP Technology Differentiation Profile

More information

EE C247B ME C218 Introduction to MEMS Design Spring 2017

EE C247B ME C218 Introduction to MEMS Design Spring 2017 EE C247B ME C218 Introduction to MEMS Design Spring 2017 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture Module

More information

Overcoming challenges of high multi-site, high multi-port RF wafer sort testing

Overcoming challenges of high multi-site, high multi-port RF wafer sort testing June 7-10, 2009 San Diego, CA Overcoming challenges of high multi-site, high multi-port RF wafer sort testing Daniel Watson Mechanical Engineer Teradyne, nc. Worldwide RF Semiconductor Market Trends: Strong

More information

SEMICONDUCTOR TECHNOLOGY -CMOS-

SEMICONDUCTOR TECHNOLOGY -CMOS- SEMICONDUCTOR TECHNOLOGY -CMOS- Fire Tom Wada 2011/12/19 1 What is semiconductor and LSIs Huge number of transistors can be integrated in a small Si chip. The size of the chip is roughly the size of nails.

More information

21 rue La Noue Bras de Fer Nantes - France Phone : +33 (0) website :

21 rue La Noue Bras de Fer Nantes - France Phone : +33 (0) website : 21 rue La Noue Bras de Fer - 44200 Nantes - France Phone : +33 (0) 240 180 916 - email : info@systemplus.fr - website : www.systemplus.fr 2012 September - Version 1 Written by: Maher Sahmimi DISCLAIMER

More information

EECS150 - Digital Design Lecture 2 - CMOS

EECS150 - Digital Design Lecture 2 - CMOS EECS150 - Digital Design Lecture 2 - CMOS January 23, 2003 John Wawrzynek Spring 2003 EECS150 - Lec02-CMOS Page 1 Outline Overview of Physical Implementations CMOS devices Announcements/Break CMOS transistor

More information

Pre SiGe Wet Cleans Development for sub 1x nm Technology Node

Pre SiGe Wet Cleans Development for sub 1x nm Technology Node Pre SiGe Wet Cleans Development for sub 1x nm Technology Node Akshey Sehgal, Anand Kadiyala, Michael DeVre and, Norberto Oliveria April 10 th, 2018 Background Due to higher aspect ratio features observed

More information

Digital Light Processing

Digital Light Processing A Seminar report On Digital Light Processing Submitted in partial fulfillment of the requirement for the award of degree of Bachelor of Technology in Computer Science SUBMITTED TO: www.studymafia.org SUBMITTED

More information

THE challenges facing today s mobile

THE challenges facing today s mobile MEMS displays MEMS-Based Display Technology Drives Next-Generation FPDs for Mobile Applications Today, manufacturers of mobile electronic devices are faced with a number of competitive challenges. To remain

More information

Approaching Zero Etch Bias at Cr Etch Process

Approaching Zero Etch Bias at Cr Etch Process Approaching Zero Etch Bias at Cr Etch Process Pavel Nesladek a ; Norbert Falk b ; Andreas Wiswesser a ; Renee Koch b ; Björn Sass a a Advanced Mask Technology Center, Rähnitzer Allee 9; 01109 Dresden,

More information

ABSTRACT 1 INTRODUCTION

ABSTRACT 1 INTRODUCTION Novel lithography technique using an ASML Stepper/Scanner for the manufacture of display devices in MEMS world ASML US, Inc Special Applications, 6580 Via Del Oro San Jose, CA 95119 Keith Best, Pankaj

More information

Parts of dicing machines for scribing or scoring semiconductor wafers , , , , ,

Parts of dicing machines for scribing or scoring semiconductor wafers , , , , , US-Rev3 26 March 1997 With respect to any product described in or for Attachment B to the Annex to the Ministerial Declaration on Trade in Information Technology Products (WT/MIN(96)/16), to the extent

More information

New Rotary Magnetron Magnet Bar Improves Target Utilization and Deposition Uniformity

New Rotary Magnetron Magnet Bar Improves Target Utilization and Deposition Uniformity Society of Vacuum Coaters 2013 Technical Conference Presentation New Rotary Magnetron Magnet Bar Improves Target Utilization and Deposition Uniformity John Madocks & Phong Ngo, General Plasma Inc., 546

More information

SEMICONDUCTOR TECHNOLOGY -CMOS-

SEMICONDUCTOR TECHNOLOGY -CMOS- SEMICONDUCTOR TECHNOLOGY -CMOS- Fire Tom Wada What is semiconductor and LSIs Huge number of transistors can be integrated in a small Si chip. The size of the chip is roughly the size of nails. Currently,

More information

PlexBright LED Optogenetic Stimulation System

PlexBright LED Optogenetic Stimulation System PlexBright LED Optogenetic Stimulation System Introduction Laser-based light sources were the first to make inroads in in vivo optogenetic experimentation, due to their capability of delivering high levels

More information

Features. = +25 C, IF = 1 GHz, LO = +13 dbm*

Features. = +25 C, IF = 1 GHz, LO = +13 dbm* v.5 HMC56LM3 SMT MIXER, 24-4 GHz Typical Applications Features The HMC56LM3 is ideal for: Test Equipment & Sensors Point-to-Point Radios Point-to-Multi-Point Radios Military & Space Functional Diagram

More information

Data Converters and DSPs Getting Closer to Sensors

Data Converters and DSPs Getting Closer to Sensors Data Converters and DSPs Getting Closer to Sensors As the data converters used in military applications must operate faster and at greater resolution, the digital domain is moving closer to the antenna/sensor

More information

Imperial College OF SCIENCE, TECHNOLOGY AND MEDICINE University of London. Digital IC Design Course

Imperial College OF SCIENCE, TECHNOLOGY AND MEDICINE University of London. Digital IC Design Course Scalable CMOS Layout Design Rules Scalable CMOS Layout Design Rules Imperial College OF SCIENCE, TECHNOLOGY AND MEDICINE University of London Department of Electrical & Electronic Engineering Digital IC

More information

Chapter 3 Evaluated Results of Conventional Pixel Circuit, Other Compensation Circuits and Proposed Pixel Circuits for Active Matrix Organic Light Emitting Diodes (AMOLEDs) -------------------------------------------------------------------------------------------------------

More information

LINEAR DIGITAL RECORDER WITH 100 MBYTE/SEC HIPPI INTERFACE

LINEAR DIGITAL RECORDER WITH 100 MBYTE/SEC HIPPI INTERFACE LINEAR DIGITAL RECORDER WITH 100 MBYTE/SEC HIPPI INTERFACE John C. Webber Interferometrics Inc. 14120 Parke Long Court Chantilly, VA 22021 (703) 222-5800 webber@interf.com SUMMARY A plan has been formulated

More information

Multi-Shaped E-Beam Technology for Mask Writing

Multi-Shaped E-Beam Technology for Mask Writing Multi-Shaped E-Beam Technology for Mask Writing Juergen Gramss a, Arnd Stoeckel a, Ulf Weidenmueller a, Hans-Joachim Doering a, Martin Bloecker b, Martin Sczyrba b, Michael Finken b, Timo Wandel b, Detlef

More information

An Overview of the Performance Envelope of Digital Micromirror Device (DMD) Based Projection Display Systems

An Overview of the Performance Envelope of Digital Micromirror Device (DMD) Based Projection Display Systems An Overview of the Performance Envelope of Digital Micromirror Device (DMD) Based Projection Display Systems Dr. Jeffrey B. Sampsell Texas Instruments Digital projection display systems based on the DMD

More information

2x1 prototype plasma-electrode Pockels cell (PEPC) for the National Ignition Facility

2x1 prototype plasma-electrode Pockels cell (PEPC) for the National Ignition Facility Y b 2x1 prototype plasma-electrode Pockels cell (PEPC) for the National Ignition Facility M.A. Rhodes, S. Fochs, T. Alger ECEOVED This paper was prepared for submittal to the Solid-state Lasers for Application

More information

24. Scaling, Economics, SOI Technology

24. Scaling, Economics, SOI Technology 24. Scaling, Economics, SOI Technology Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 December 4, 2017 ECE Department, University

More information

Sharif University of Technology. SoC: Introduction

Sharif University of Technology. SoC: Introduction SoC Design Lecture 1: Introduction Shaahin Hessabi Department of Computer Engineering System-on-Chip System: a set of related parts that act as a whole to achieve a given goal. A system is a set of interacting

More information

Scaling up of the Iris AO segmented DM technology for atmospheric correction

Scaling up of the Iris AO segmented DM technology for atmospheric correction Scaling up of the Iris AO segmented DM technology for atmospheric correction Michael A. Helmbrecht, Ph.D., Min He, Carl Kempf, Ph.D., Patrick Rhodes Iris AO, Inc., 2680 Bancroft Way, Berkeley, CA 94704

More information

3D IC Test through Power Line Methodology. Alberto Pagani

3D IC Test through Power Line Methodology. Alberto Pagani 3D IC Test through Power Line Methodology Alberto Pagani Outline 2 Power Line Communication (PLC) approach 2D Test architecture through PLC Advantages Methodology Feasibility Study Rx test chip for digital

More information

High Performance Microprocessor Design and Automation: Overview, Challenges and Opportunities IBM Corporation

High Performance Microprocessor Design and Automation: Overview, Challenges and Opportunities IBM Corporation High Performance Microprocessor Design and Automation: Overview, Challenges and Opportunities Introduction About Myself What to expect out of this lecture Understand the current trend in the IC Design

More information

Scan. This is a sample of the first 15 pages of the Scan chapter.

Scan. This is a sample of the first 15 pages of the Scan chapter. Scan This is a sample of the first 15 pages of the Scan chapter. Note: The book is NOT Pinted in color. Objectives: This section provides: An overview of Scan An introduction to Test Sequences and Test

More information

UV Nanoimprint Tool and Process Technology. S.V. Sreenivasan December 13 th, 2007

UV Nanoimprint Tool and Process Technology. S.V. Sreenivasan December 13 th, 2007 UV Nanoimprint Tool and Process Technology S.V. Sreenivasan December 13 th, 2007 Agenda Introduction Need tool and process technology that can address: Patterning and CD control Alignment and Overlay Defect

More information

ET-5050x-BF1W Datasheet

ET-5050x-BF1W Datasheet PLCC Series ET-5050x-BF1W Datasheet Features : High luminous Intensity and high efficiency Based on GaN technology Wide viewing angle : 120 Excellent performance and visibility Suitable for all SMT assembly

More information

Overview of All Pixel Circuits for Active Matrix Organic Light Emitting Diode (AMOLED)

Overview of All Pixel Circuits for Active Matrix Organic Light Emitting Diode (AMOLED) Chapter 2 Overview of All Pixel Circuits for Active Matrix Organic Light Emitting Diode (AMOLED) ---------------------------------------------------------------------------------------------------------------

More information

A High-Speed CMOS Image Sensor with Column-Parallel Single Capacitor CDSs and Single-slope ADCs

A High-Speed CMOS Image Sensor with Column-Parallel Single Capacitor CDSs and Single-slope ADCs A High-Speed CMOS Image Sensor with Column-Parallel Single Capacitor CDSs and Single-slope ADCs LI Quanliang, SHI Cong, and WU Nanjian (The State Key Laboratory for Superlattices and Microstructures, Institute

More information

Practical Application of the Phased-Array Technology with Paint-Brush Evaluation for Seamless-Tube Testing

Practical Application of the Phased-Array Technology with Paint-Brush Evaluation for Seamless-Tube Testing ECNDT 2006 - Th.1.1.4 Practical Application of the Phased-Array Technology with Paint-Brush Evaluation for Seamless-Tube Testing R.H. PAWELLETZ, E. EUFRASIO, Vallourec & Mannesmann do Brazil, Belo Horizonte,

More information

Product Specification PE613050

Product Specification PE613050 PE63050 Product Description The PE63050 is an SP4T tuning control switch based on Peregrine s UltraCMOS technology. This highly versatile switch supports a wide variety of tuning circuit topologies with

More information

Monolithic Optoelectronic Integration of High- Voltage Power FETs and LEDs

Monolithic Optoelectronic Integration of High- Voltage Power FETs and LEDs Monolithic Optoelectronic Integration of High- Voltage Power FETs and LEDs, Zhongda Li, Robert Karlicek and T. Paul Chow Smart Lighting Engineering Research Center Rensselaer Polytechnic Institute, Troy,

More information

Facedown Low-Inductance Solder Pad and Via Schemes Revision 0 - Aug 8, Low ESL / 7343 Package

Facedown Low-Inductance Solder Pad and Via Schemes Revision 0 - Aug 8, Low ESL / 7343 Package Update Facedown Low-Inductance Solder Pad and Via Schemes Revision 0 - Aug 8, 2008 Low ESL / 7343 Package In the quest for lower ESL devices, having the ESL reduced in the package is only half of the battle;

More information

P I SCALE Creating an Open Access Flexible O L E D P ilo t L in e S e r vic e

P I SCALE Creating an Open Access Flexible O L E D P ilo t L in e S e r vic e P I SCALE Creating an Open Access Flexible O L E D P ilo t L in e S e r vic e Pavel Kudlacek pavel.kudlacek@tno.nl P I - SCALE for 2017Flex 1 Lighting c h a lle n g e L ig h t in g c h a lle n g e At least

More information

TG-1000 SPIM functions

TG-1000 SPIM functions TG-1000 SPIM functions In Selective Plane Illumination Microscopy (SPIM) there is a need to coordinate light sheets, stage movements, and camera triggers. To facilitate this there is special functionality

More information

Surface Mount Multilayer Ceramic Chip Capacitors for High Temperatures 200 C

Surface Mount Multilayer Ceramic Chip Capacitors for High Temperatures 200 C Surface Mount Multilayer Ceramic Chip Capacitors for High Temperatures 200 C DESIGN TOOLS (click logo to get started) FEATURES Case size 0402, 0505, 0603, 0805, Available High frequency / high temperature

More information

Analog, Mixed-Signal, and Radio-Frequency (RF) Electronic Design Laboratory. Electrical and Computer Engineering Department UNC Charlotte

Analog, Mixed-Signal, and Radio-Frequency (RF) Electronic Design Laboratory. Electrical and Computer Engineering Department UNC Charlotte Analog, Mixed-Signal, and Radio-Frequency (RF) Electronic Design Laboratory Electrical and Computer Engineering Department UNC Charlotte Teaching and Research Faculty (Please see faculty web pages for

More information

Why Use the Cypress PSoC?

Why Use the Cypress PSoC? C H A P T E R1 Why Use the Cypress PSoC? Electronics have dramatically altered the world as we know it. One has simply to compare the conveniences and capabilities of today s world with those of the late

More information

RF amplifier testing from wafer to design-in

RF amplifier testing from wafer to design-in RF amplifier testing from wafer to design-in We help you reach your target: Improve efficiency Ensure RF performance Increase throughput Turn your signals into success. Benefit from 85 years of experience

More information

CORONA & PLASMA FOR NARROW WEB

CORONA & PLASMA FOR NARROW WEB CORONA & PLASMA FOR NARROW WEB Corona & Plasma for NARROW WEB The Corona surface treatment is essential in label printing. When the label is made from plastic substrate the ink tends to become blemished

More information

Scalable self-aligned active matrix IGZO TFT backplane technology and its use in flexible semi-transparent image sensors. Albert van Breemen

Scalable self-aligned active matrix IGZO TFT backplane technology and its use in flexible semi-transparent image sensors. Albert van Breemen Scalable self-aligned active matrix IGZO TFT backplane technology and its use in flexible semi-transparent image sensors Albert van Breemen Image sensors today 1 Dominated by silicon based technology on

More information

BAYKAL PLASMA PRODUCT ANNOUNCEMENT

BAYKAL PLASMA PRODUCT ANNOUNCEMENT BAYKAL PLASMA PRODUCT ANNOUNCEMENT BAYKAL PLASMA TRUE HOLE CUTTING UPGRADE With existing competitors and new competition, Baykal is following its principle of offering competitive features which add value

More information