V54C3256(16/80/40)4VH 256Mbit SDRAM 3.3 VOLT, TSOP II PACKAGE 16M X 16, 32M X 8, 64M X 4

Size: px
Start display at page:

Download "V54C3256(16/80/40)4VH 256Mbit SDRAM 3.3 VOLT, TSOP II PACKAGE 16M X 16, 32M X 8, 64M X 4"

Transcription

1 V54C3256(16/80/40)4V 256Mbit SDRAM 3.3 VOT, TSOP II PACKAGE 16M 16, 32M 8, 64M 4 6 7PC 7 System Frequency (f CK ) 166 Mz 143 Mz 143 Mz Clock Cycle Time (t CK3 ) 6 ns 7 ns 7 ns Clock Access Time (t AC3 ) atency = ns 5.4 ns 5.4 ns Clock Access Time (t AC2 ) atency = ns 5.4 ns 6 ns Features - 4 banks x 4Mbit x 16 organization - 4 banks x 8Mbit x 8 organization - 4 banks x16mbit x 4 organization - igh speed data transfer rates up to 166 Mz - Full Synchronous Dynamic RAM, with all signals referenced to clock rising edge - Single Pulsed Interface - Data Mask for Read/Write Control - Four Banks controlled by BA0 & BA1 - Programmable atency: 2, 3 - Programmable Wrap Sequence: Sequential or Interleave - Programmable Burst ength: 1, 2, 4, 8 and full page for Sequential Type 1, 2, 4, 8 for Interleave Type - Multiple Burst Read with Single Write Operation - Automatic and Controlled - Random Column Address every CK (1-N Rule) - Power Down Mode - Auto Refresh and Self Refresh - Refresh Interval: 8192 cycles/64 ms - Available in 54 Pin TSOP II - VTT Interface - Single +3.3 V ±0.3 V Power Supply Description The V54C3256(16/80/40)4V is a four bank Synchronous DRAM organized as 4 banks x 4Mbit x 16, 4 banks x 8Mbit x 8, or 4 banks x 16Mbit x 4. The V54C3256(16/80/40)4V achieves high speed data transfer rates up to 166 Mz by employing a chip architecture that prefetches multiple bits and then synchronizes the output data to a system clock. All of the control, address, data input and output circuits are synchronized with the positive edge of an externally supplied clock. Operating the four memory banks in an interleaved fashion allows random access operation to occur at higher rate than is possible with standard DRAMs. A sequential and gapless data rate of up to 166 Mz is possible depending on burst length, latency and speed grade of the device. Device Usage Chart Operating Temperature Range Package Outline Access Time (ns) Power T 6 7PC 7 Std. Temperature Mark 0 C to 70 C Blank -40 C to 85 C I V54C3256(16/80/40)4V Rev. 1.6 August

2 ProMOS TECNOOGIES V54C3256(16/80/40)4V Part Number Information V 5 4 C V T 7 5 I PC ORGANIZATION ProMOS & REFRES 1Mx16, 2K : 1616 OTER 4Mx16, 4K : 6516 PC : C2 TYPE 32Mx4, 4K : Mx16, 4K : BANK: C3 54 : SDRAM 16Mx8, 4K : : MOBIE SDRAM 64Mx4, 8K : Mx16, 8K : TEMPERATURE 32Mx8, 8K : BANK: 0-70C 128Mx4, 8K : Mx16, 8K : I : C 64Mx8, 8K : : C CMOS E : C BANKS SPEED VOTAGE 2 : 2 BANKS I/O 10 : 100Mz 7 : 143Mz 4 : 3.0V 4 : 4 BANKS V: VTT 8 : 125Mz 6 : 166Mz 3: 3.3 V 8 : 8 BANKS 75 : 133Mz 5 : 200Mz 2 : 2.5 V REV EVE 1 : 1.8 V PACKAGE EAD RoS GREEN PACKAGE PATING DESCRIPTION SPECIA FEATURE T E I TSOP : OW POR GRADE S F J 60-Ball FBGA U : UTRA OW POR GRADE C G K 54-BallFBGA B M BGA D N Die-stacked TSOP Z R P Die-stacked FBGA * RoS: Restriction of azardous Substances * Green: RoS-compliant and alogen-free V54C3256(16/80/40)4V Rev. 1.6 August

3 ProMOS TECNOOGIES V54C3256(16/80/40)4V Description Pkg. Pin Count TSOP-II T Pin Plastic TSOP-II x16 PIN CONFIGURATION Top View Pin Names CK Clock Input Clock Enable V CC I/O 1 V CCQ I/O 2 I/O 3 V SSQ I/O 4 I/O 5 V CCQ I/O 6 I/O 7 V SSQ I/O 8 V CC BA0 BA1 A 10 A 0 A 1 A 2 A 3 V CC V SS I/O 16 V SSQ I/O 15 I/O 14 V CCQ I/O 13 I/O 12 V SSQ I/O 11 I/O 10 V CCQ I/O 9 V SS U CK A12 A11 A 9 A 8 A 7 A 6 A 5 A 4 V SS A 0 A 12 BA0, BA1 I/O 1 I/O 16, U V CC V SS V CCQ V SSQ Chip Select Row Address Strobe Column Address Strobe Write Enable Address Inputs Bank Select Data Input/Output Data Mask Power (+3.0V~3.3V) Ground Power for I/O s (+3.0V~3.3V) Ground for I/O s Not connected V-01 V54C3256(16/80/40)4V Rev. 1.6 August

4 ProMOS TECNOOGIES V54C3256(16/80/40)4V Description Pkg. Pin Count TSOP-II T Pin Plastic TSOP-II x8 PIN CONFIGURATION Top View Pin Names CK Clock Input Clock Enable V CC I/O 1 V CCQ I/O 2 V SSQ I/O 3 V CCQ I/O 4 V SSQ V CC BA0 BA1 A 10 A 0 A 1 A 2 A 3 V CC V SS I/O 8 V SSQ I/O 7 V CCQ I/O 6 V SSQ I/O 5 V CCQ V SS CK A 12 A 11 A 9 A 8 A 7 A 6 A 5 A 4 V SS A 0 A 12 BA0, BA1 I/O 1 I/O 8 V CC V SS V CCQ V SSQ Chip Select Row Address Strobe Column Address Strobe Write Enable Address Inputs Bank Select Data Input/Output Data Mask Power (+3.0V~3.3V) Ground Power for I/O s (+3.0V~3.3V) Ground for I/O s Not connected V-01 V54C3256(16/80/40)4V Rev. 1.6 August

5 ProMOS TECNOOGIES V54C3256(16/80/40)4V Description Pkg. Pin Count TSOP-II T Pin Plastic TSOP-II x4 PIN CONFIGURATION Top View Pin Names CK Clock Input Clock Enable V CC V CCQ I/O 1 V SSQ V CCQ I/O 2 V SSQ V CC BA0 BA1 A 10 A 0 A 1 A 2 A 3 V CC V SS V SSQ I/O 4 V CCQ V SSQ I/O 3 V CCQ V SS CK A 12 A 11 A 9 A 8 A 7 A 6 A 5 A 4 V SS A 0 A 12 BA0, BA1 I/O 1 I/O 4 V CC V SS V CCQ V SSQ Chip Select Row Address Strobe Column Address Strobe Write Enable Address Inputs Bank Select Data Input/Output Data Mask Power (+3.0V~3.3V) Ground Power for I/O s (+3.0v~3.3V) Ground for I/O s Not connected V-01 V54C3256(16/80/40)4V Rev. 1.6 August

6 ProMOS TECNOOGIES Capacitance* V CC = 3.3 V ± 0.3 V, f = 1 Mhz Symbol Parameter Max. Unit C I1 Input Capacitance (A0 to A12) 5 pf C I2 Input Capacitance,,,, CK,, 5 pf Absolute Maximum Ratings* V54C3256(16/80/40)4V Operating temperature range...0 to 70 C for normal -40 to 85 C for Industrial Storage temperature range to 150 C Input/output voltage to (V CC +0.3) V Power supply voltage to 4.6 V Power dissipation... 1 W Data out current (short circuit) ma C IO Output Capacitance (I/O) 6.5 pf C CK Input Capacitance (CK) 4 pf *Note:Capacitance is sampled and not 100% tested. *Note: Stresses above those listed under Absolute Maximum Ratings may cause permanent damage of the device. Exposure to absolute maximum rating conditions for extended periods may affect device reliability. Block Diagram x16 Configuration Column Addresses Row Addresses A0 - A8,, BA0, BA1 A0 - A12, BA0, BA1 Column address counter Column address buffer Row address buffer Refresh Counter Row decoder Row decoder Row decoder Row decoder Column decoder Sense amplifier & I(O) bus Memory array Bank x 512 x 16 bit Column decoder Sense amplifier & I(O) bus Memory array Bank x 512 x16 bit Column decoder Sense amplifier & I(O) bus Memory array Bank x 512 x 16 bit Column decoder Sense amplifier & I(O) bus Memory array Bank x 512 x 16 bit Input buffer Output buffer Control logic & timing generator I/O 1 -I/O 16 CK U V54C3256(16/80/40)4V Rev1.6 August

7 ProMOS TECNOOGIES V54C3256(16/80/40)4V Block Diagram x8 Configuration Column Addresses Row Addresses A0 - A9,, BA0, BA1 A0 - A12, BA0, BA1 Column address counter Column address buffer Row address buffer Refresh Counter Row decoder Row decoder Row decoder Row decoder Column decoder Sense amplifier & I(O) bus Memory array Bank x 1024 x 8 bit Column decoder Sense amplifier & I(O) bus Memory array Bank x 1024 x 8 bit Column decoder Sense amplifier & I(O) bus Memory array Bank x 1024 x 8 bit Column decoder Sense amplifier & I(O) bus Memory array Bank x 1024 x 8 bit Input buffer Output buffer Control logic & timing generator I/O 1 -I/O 8 CK V54C3256(16/80/40)4V Rev. 1.6 August

8 ProMOS TECNOOGIES V54C3256(16/80/40)4V Block Diagram x4 Configuration Column Addresses Row Addresses A0 - A9, A11,, BA0, BA1 A0 - A12, BA0, BA1 Column address counter Column address buffer Row address buffer Refresh Counter Row decoder Row decoder Row decoder Row decoder Column decoder Sense amplifier & I(O) bus Memory array Bank x 2048 x 4 bit Column decoder Sense amplifier & I(O) bus Memory array Bank x 2048 x 4 bit Column decoder Sense amplifier & I(O) bus Memory array Bank x 2048 x 4 bit Column decoder Sense amplifier & I(O) bus Memory array Bank x 2048 x 4 bit Input buffer Output buffer Control logic & timing generator I/O 1 -I/O 4 CK V54C3256(16/80/40)4V Rev. 1.6 August

9 ProMOS TECNOOGIES V54C3256(16/80/40)4V Signal Pin Description Pin Type Signal Polarity Function CK Input Pulse Positive Edge The system clock input. All of the SDRAM inputs are sampled on the rising edge of the clock. Input evel Active igh s the CK signal when high and deactivates the CK signal when low, thereby initiates either the Power Down mode or the Self Refresh mode. Input Pulse Active ow enables the command decoder when low and disables the command decoder when high. When the command decoder is disabled, new commands are ignored but previous operations continue., Input Pulse Active ow When sampled at the positive rising edge of the clock,,, and define the command to be executed by the SDRAM. A0 - A11 Input evel During a ctivate command cycle, A0-A12 defines the row address (RA0-RA12) when sampled at the rising clock edge. During a Read or Write command cycle, A0-An defines the column address (CA0-CAn) when sampled at the rising clock edge.can depends from the SDRAM organization: 64M x 4 SDRAM CA0 CA9, CA11. 32M x 8 SDRAM CA0 CA9. 16M x 16 SDRAM CA0 CA8. In addition to the column address, A10(=) is used to invoke autoprecharge operation at the end of the burst read or write cycle. If A10 is high, autoprecharge is selected and BA0, BA1 defines the bank to be precharged. If A10 is low, autoprecharge is disabled. During a command cycle, A10(=) is used in conjunction with BA0 and BA1 to control which bank(s) to precharge. If A10 is high, all four banks will BA0 and BA1 are used to define which bank to precharge. BA0, BA1 Input evel Selects which bank is to be active. DQx Input Output evel Data Input/Output pins operate in the same manner as on conventional DRAMs. U Input Pulse Active igh The Data Input/Output mask places the DQ buffers in a high impedance state when sampled high. In Read mode, has a latency of two clock cycles and controls the output buffers like an output enable. In Write mode, has a latency of zero and operates as a word mask by allowing input data to be written if it is low but blocks the write operation if is high. VCC, VSS Supply Power and ground for the input buffers and the core logic. VCCQ VSSQ Supply Isolated power supply and ground for the output buffers to provide improved noise immunity. V54C3256(16/80/40)4V Rev. 1.6 August

10 ProMOS TECNOOGIES V54C3256(16/80/40)4V Operation Definition All of SDRAM operations are defined by states of control signals,,,, and at the positive edge of the clock. The following list shows the thruth table for the operation commands. Operation Device State n-1 n A0-9, A11, A12 A Row Idle 3 V V V Read Active 3 V V Read w/autoprecharge Active 3 V V Write Active 3 V V Write with Autoprecharge Active 3 V V Row Any V All Any Mode Register Set Idle V V V No Operation Any Device Deselect Any Auto Refresh Idle Self Refresh Entry Idle Self Refresh Exit Idle (Self Refr.) Power Down Entry Idle Active 4 Power Down Exit Any (Power Down) Data Write/Output Enable Active Data Write/Output Disable Active Notes: 1. V = Valid, x = Don t Care, = ow evel, = igh evel 2. n signal is input level when commands are provided, n-1 signal is input level one clock before the commands are provided. 3. These are state of bank designated by 0, 1 signals. 4. Power Down Mode can not entry in the burst cycle. V54C3256(16/80/40)4V Rev. 1.6 August

11 ProMOS TECNOOGIES V54C3256(16/80/40)4V Power On and Initialization The default power on state of the mode register is supplier specific and may be undefined. The following power on and initialization sequence guarantees the device is preconditioned to each users specific needs. ike a conventional DRAM, the Synchronous DRAM must be powered up and initialized in a predefined manner. During power on, all VCC and VCCQ pins must be built up simultaneously to the specified voltage when the input signals are held in the NOP state. The power on voltage must not exceed VCC+0.3V on any of the input pins or VCC supplies. The CK signal must be started at the same time. After power on, an initial pause of 200 ms is required followed by a precharge of both banks using the precharge command. To prevent data contention on the DQ bus during power on, it is required that the and pins be held high during the initial pause period. Once all banks have been precharged, the Mode Register Set must be issued to initialize the Mode Register. A minimum of eight Auto Refresh cycles (CBR) are also required.these may be done before or after programming the Mode Register. Failure to follow these steps may lead to unpredictable start-up modes. Programming the Mode Register The Mode register designates the operation mode at the read or write cycle. This register is divided into 4 fields. A Burst ength Field to set the length of the burst, an Addressing Selection bit to program the column access sequence in a burst cycle (interleaved or sequential), a atency Field to set the access time at clock cycle and a Operation mode field to differentiate between normal operation (Burst read and burst Write) and a special Burst Read and Single Write mode. The mode set operation must be done before any activate command after the initial power up. Any content of the mode register can be altered by re-executing the mode set command. All banks must be in precharged state and must be high at least one clock before the mode set operation. After the mode register is set, a Standby or NOP command is required. ow signals of,, and at the positive edge of the clock activate the mode set operation. Address input data at this timing defines parameters to be set as shown in the previous table. Read and Write Operation When is low and both and are high at the positive edge of the clock, a cycle starts. According to address data, a word line of the selected bank is activated and all of sense amplifiers associated to the wordline are set. A cycle is triggered by setting high and low at a clock timing after a necessary delay, t RCD, from the timing. is used to define either a read ( = ) or a write ( = ) at this stage. SDRAM provides a wide variety of fast access modes. In a single cycle, serial data read or write operations are allowed at up to a 125 Mz data rate. The numbers of serial data bits are the burst length programmed at the mode set operation, i.e., one of 1, 2, 4, 8 and full page. Column addresses are segmented by the burst length and serial data accesses are done within this boundary. The first column address to be accessed is supplied at the timing and the subsequent addresses are generated automatically by the programmed burst length and its sequence. For example, in a burst length of 8 with interleave sequence, if the first address is 2, then the rest of the burst sequence is 3, 0, 1, 6, 7, 4, and 5. Full page burst operation is only possible using sequential burst type. Full Page burst operation does not terminate once the burst length has been reached. (At the end of the page, it will wrap to the start address and continue.) In other words, unlike burst length of 2, 4, and 8, full page burst continues until it is terminated using another command. V54C3256(16/80/40)4V Rev. 1.6 August

12 ProMOS TECNOOGIES V54C3256(16/80/40)4V Address Input for Mode Set (Mode Register Operation) BA1 BA0 A11 A10 A9 A8 A7 A6 A5 A4 A3 A2 A1 A0 Address Bus (Ax) Operation Mode atency BT Burst ength Mode Register Operation Mode Burst Type BA1 BA0 A11 A10 A9 A8 A7 Mode A3 Type atency Burst Read/Burst Write Burst Read/Single Write A6 A5 A4 atency Reserve Reserve Reserve Reserve Reserve Reserve 0 Sequential 1 Interleave Burst ength ength A2 A1 A0 Sequential Interleave Reserve Reserve Reserve Reserve Reserve Reserve Full Page Reserve Similar to the page mode of conventional DRAM s, burst read or write accesses on any column address are possible once the cycle latches the sense amplifiers. The maximum t or the refresh interval time limits the number of random column accesses. A new burst access can be done even before the previous burst ends. The interrupt operation at every clock cycles is supported. When the previous burst is interrupted, the remaining addresses are overridden by the new address with the full burst length. An interrupt which accompanies with an operation change from a read to a write is possible by exploiting to avoid bus contention. When two or more banks are activated sequentially, interleaved bank read or write operations are possible. With the programmed burst length, alternate access and precharge operations on two or more banks can realize fast serial data access modes among many different pages. Once two or more banks are activated, column to column interleave operation can be done between different pages. V54C3256(16/80/40)4V Rev. 1.6 August

13 ProMOS TECNOOGIES V54C3256(16/80/40)4V Burst ength and Sequence: Burst ength Starting Address (A2 A1 A0) 2 xx0 xx1 4 x00 x01 x10 x Sequential Burst Addressing (decimal) 0, 1 1, 0 0, 1, 2, 3 1, 2, 3, 0 2, 3, 0, 1 3, 0, 1, Interleave Burst Addressing (decimal) 0, 1 1, 0 0, 1, 2, 3 1, 0, 3, 2 2, 3, 0, 1 3, 2, 1, Full Page nnn Cn, Cn+1, Cn+2... not supported Refresh Mode SDRAM has two refresh modes, Auto Refresh and Self Refresh. Auto Refresh is similar to the -before- refresh of conventional DRAMs. All of banks must be precharged before applying any refresh mode. An on-chip address counter increments the word and the bank addresses and no bank information is required for both refresh modes. The chip enters the Auto Refresh mode, when and are held low and and are held high at a clock timing. The mode restores word line after the refresh and no external precharge command is necessary. A minimum trc time is required between two automatic refreshes in a burst refresh mode. The same rule applies to any access command after the automatic refresh operation. The chip has an on-chip timer and the Self Refresh mode is available. It enters the mode when,, and are low and is high at a clock timing. All of external control signals including the clock are disabled. Returning to high enables the clock and initiates the refresh exit operation. After the exit command, at least one t RC delay is required prior to any access command. Function has two functions for data I/O read and write operations. During reads, when it turns to high at a clock timing, data outputs are disabled and become high impedance after two clock delay ( Data Disable atency t DQZ ). It also provides a data mask function for writes. When is activated, the write operation at the next clock is prohibited ( Write Mask atency t DQW = zero clocks). Power Down In order to reduce standby power consumption, a power down mode is available. All banks must be precharged and the necessary delay (trp) must occur before the SDRAM can enter the Power Down mode. Once the Power Down mode is initiated by holding low, all of the receiver circuits except CK and are gated off. The Power Down mode does not perform any refresh operations, therefore the device can t remain in Power Down mode longer than the Refresh period (tref) of the device. Exit from this mode is performed by taking high. One clock delay is required for mode entry and exit. V54C3256(16/80/40)4V Rev. 1.6 August

14 ProMOS TECNOOGIES V54C3256(16/80/40)4V Auto Two methods are available to precharge SDRAMs. In an automatic precharge mode, the timing accepts one extra address, CA10, to determine whether the chip restores or not after the operation. If CA10 is high when a Read is issued, the Read with Auto- function is initiated. The SDRAM automatically enters the precharge operation one clock before the last data out for latencies 2, two clocks for latencies 3 and three clocks for latencies 4. If CA10 is high when a Write is issued, the Write with Auto- function is initiated. The SDRAM automatically enters the precharge operation a time delay equal to t WR (Write recovery time) after the last data in. Auto- does not apply to full-page burst mode. There is also a separate precharge command available. When and are low and is high at a clock timing, it triggers the precharge operation. Three address bits, BA0, BA1 and A10 are used to define banks as shown in the following list. The precharge command can be imposed one clock before the last data out for latency = 2, two clocks before the last data out for latency = 3. Writes require a time delay twr from the last data out to apply the precharge command. A full-page burst may be truncated with a command to the same bank. Bank Selection by Address Bits: A10 BA0 BA Bank Bank Bank Bank 3 1 all Banks Burst Termination Once a burst read or write operation has been initiated, there are several methods in which to terminate the burst operation prematurely. These methods include using another Read or Write to interrupt an existing burst operation, use a to interrupt a burst cycle and close the active bank, or using the Burst Stop to terminate the existing burst operation but leave the bank open for future Read or Write s to the same page of the active bank. When interrupting a burst with another Read or Write care must be taken to avoid I/O contention. The Burst Stop, however, has the fewest restrictions making it the easiest method to use when terminating a burst operation before it has been completed. If a Burst Stop command is issued during a burst write operation, then any residual data from the burst write cycle will be ignored. Data that is presented on the I/O pins before the Burst Stop is registered will be written to the memory. The full-page burst is used in conjunction with Burst Terminate to generate arbitrary burst lengths. V54C3256(16/80/40)4V Rev. 1.6 August

15 ProMOS TECNOOGIES V54C3256(16/80/40)4V Recommended Operation and Characteristics for V-TT V SS = 0 V; V CC, V CCQ = 3.3 V ± 0.3 V imit Values Parameter Symbol min. max. Unit Notes Input high voltage V I 2.0 Vcc+0.3 V 1, 2 Input low voltage V I V 1, 2 Output high voltage (I OUT = 4.0 ma) V O 2.4 V Output low voltage (I OUT = 4.0 ma) V O 0.4 V Input leakage current, any input (0 V < V IN < 3.6 V, all other inputs = 0 V) Output leakage current (DQ is disabled, 0 V < V OUT < V CC ) I I() ma I O() ma Note: 1. All voltages are referenced to V SS. 2. V I may overshoot to V CC V for pulse width of < 4ns with 3.3V. V I may undershoot to -2.0 V for pulse width < 4.0 ns with 3.3V. Pulse width measured at 50% points with amplitude measured peak to DC reference. Operating Currents V CC = 3.3 V ± 0.3 V ( Recommended Operating Conditions unless otherwise noted ) Max. Symbol Parameter & Test Condition -6-7 / -7PC Unit Note ICC1 Operating Current t RC = t RCMIN., t RC = t CKMIN. Active-precharge command cycling, without Burst Operation 1 bank operation ma 1 ICC2P Standby Current t CK = min ma 1 ICC2PS in Power Down Mode =V I, V I(max) t CK = Infinity 5 5 ma 1 ICC2N Standby Current t CK = min ma ICC2NS in Non-Power Down Mode =V I, V I(max) t CK = Infinity ma ICC3N ICC3P ICC4 ICC5 ICC6 No Operating Current t CK = min, = V I(min) bank ; active state ( 4 banks) Burst Operating Current t CK = min Read/Write command cycling Auto Refresh Current t CK = min Auto Refresh command cycling Self Refresh Current Self Refresh Mode, 0.2V V I(MIN.) ma V I(MA.) (Power down mode) ma ma 1, ma ma Notes: 1. These parameters depend on the cycle rate and these values are measured by the cycle rate under the minimum value of t CK and t RC. Input signals are changed one time during t CK. 2. These parameter depend on output loading. Specified values are obtained with output open. V54C3256(16/80/40)4V Rev. 1.6 August

16 ProMOS TECNOOGIES V54C3256(16/80/40)4V AC Characteristics 1,2, 3 V SS = 0 V; V CC = 3.3 V ± 0.3 V, t T = 1 ns imit Values -6-7PC -7 # Symbol Parameter Min. Max. Min. Max. Min. Max. Unit Note Clock and Clock Enable 1 t CK Clock Cycle Time atency = 3 atency = s ns ns 2 t CK Clock Frequency atency = 3 atency = Mz Mz 3 t AC Access Time from Clock atency = 3 atency = 2 _ _ _ ns ns 2, 3 4 t C Clock igh Pulse Width ns 5 t C Clock ow Pulse Width ns 6 t T Transition Time ns Setup and old Times 7 t IS Input Setup Time ns 4 8 t I Input old Time ns 4 9 t CKS Setup Time ns 4 10 t CK old Time ns 4 11 t MRD Mode Register Set Cycle Time CK 12 t SB Power Down Mode Entry Time ns 13 t DS Data-in Setup Time ns 14 t D Data-in old Time ns Common Parameters 15 t RCD Row to Column Delay Time ns 5 16 t RP Row Time ns 5 17 t Row Active Time K K K ns 5 18 t RC Row Cycle Time ns 5 19 t RRD (a) to (b) Period ns 5 20 t CCD (a) to (b) Period CK 21 t DP Data-in to for Manual precharge CK Refresh Cycle 22 t REF Refresh Period (8192 cycles) ms 23 t SRE Self Refresh Exit Time CK V54C3256(16/80/40)4V Rev. 1.6 August

17 ProMOS TECNOOGIES V54C3256(16/80/40)4V imit Values -6-7PC -7 # Symbol Parameter Min. Max. Min. Max. Min. Max. Unit Note Read Cycle 24 t O Data Out old Time ns 2 25 t Z Data Out to ow Impedance Time ns 26 t Z Data Out to igh Impedance Time ns 6 27 t DQZ Data Out Disable atency CK Write Cycle 28 t WR Write Recovery Time for Auto precharge CK 29 t DQW Write Mask atency CK Notes for AC Parameters: 1. For proper power-up see the operation section of this data sheet. 2. AC timing tests have V I = 0.4V and V I = 2.4V with the timing referenced to the 1.4 V crossover point. The transition time is measured between V I and V I. All AC measurements assume t T = 1ns with the AC output load circuit shown in Figure 1. tck CK VI VI V tis ti t T 50 Ohm COMMAND 1.4V Z=50 Ohm tac tz tac to I/O 50 pf OUTPUT 1.4V tz Figure If clock rising time is longer than 1 ns, a time (t T /2 0.5) ns has to be added to this parameter. 4. If t T is longer than 1 ns, a time (t T 1) ns has to be added to this parameter. 5. These parameter account for the number of clock cycle and depend on the operating frequency of the clock, as follows: the number of clock cycle = specified value of timing period (counted in fractions as a whole number) Self Refresh Exit is a synchronous operation and begins on the 2nd positive clock edge after returns high. Self Refresh Exit is not complete until a time period equal to trc is satisfied once the Self Refresh Exit command is registered. 6. Referenced to the time which the output achieves the open circuit condition, not to output voltage levels. V54C3256(16/80/40)4V Rev. 1.6 August

18 ProMOS TECNOOGIES V54C3256(16/80/40)4V Timing Diagrams 1. ctivate Cycle 2. Burst Read Operation 3. Read Interrupted by a Read 4. Read to Write Interval 4.1 Read to Write Interval 4.2 Minimum Read to Write Interval 4.3 Non-Minimum Read to Write Interval 5. Burst Write Operation 6. Write and Read Interrupt 6.1 Write Interrupted by a Write 6.2 Write Interrupted by Read 7. Burst Write & Read with Auto- 7.1 Burst Write with Auto- 7.2 Burst Read with Auto- 8. Burst Termination 8.1 Termination of a Burst Write Operation 8.2 Termination of a Burst Write Operation 9. AC- Parameters 9.1 AC Parameters for a Write Timing 9.2 AC Parameters for a Read Timing 10. Mode Register Set 11. Power on Sequence and Auto Refresh (CBR) 12. Power Down Mode 13. Self Refresh (Entry and Exit) 14. Auto Refresh (CBR) V54C3256(16/80/40)4V Rev. 1.6 August

19 ProMOS TECNOOGIES V54C3256(16/80/40)4V Timing Diagrams (Cont d) 15. Random Column Read ( Page within same Bank) 15.1 atency = atency = Random Column Write ( Page within same Bank) 16.1 atency = atency = Random Row Read ( Interleaving Banks) with 17.1 atency = atency = Random Row Write ( Interleaving Banks) with 18.1 atency = atency = Termination of a Burst 19.1 atency = atency = Full Page Burst Operation 20.1 Full Page Burst Read, atency = Full Page Burst Read, atency = Full Page Burst Operation 21.1 Full Page Burst Write, atency = Full Page Burst Write, atency = 3 V54C3256(16/80/40)4V Rev. 1.6 August

20 ProMOS TECNOOGIES V54C3256(16/80/40)4V 1. ctivate Cycle ( latency = 3) T0 T1 T T T T T CK ADDRESS Row Addr. Col. Addr Row Addr. Row Addr. t RCD t RRD COMMAND Write A NOP NOP with Auto NOP : or t RC 2. Burst Read Operation (Burst ength = 4, latency = 2, 3) CK T0 T1 T2 T3 T4 T5 T6 T7 T8 COMMAND READ A NOP NOP NOP NOP NOP NOP NOP NOP latency = 2 t CK2, I/O s DOUT A 0 DOUT A 1 DOUT A 2 DOUT A 3 latency = 3 t CK3, I/O s DOUT A 0 DOUT A 1 DOUT A 2 DOUT A 3 V54C3256(16/80/40)4V Rev. 1.6 August

21 ProMOS TECNOOGIES V54C3256(16/80/40)4V 3. Read Interrupted by a Read (Burst ength = 4, latency = 2, 3) T0 T1 T2 T3 T4 T5 T6 T7 T8 CK t CCD COMMAND READ A READ B NOP NOP NOP NOP NOP NOP NOP latency = 2 t CK2, I/O s DOUT A 0 DOUT B 0 DOUT B 1 DOUT B 2 DOUT B 3 latency = 3 t CK3, I/O s DOUT A 0 DOUT B 0 DOUT B 1 DOUT B 2 DOUT B Read to Write Interval (Burst ength = 4, latency = 3) T0 T1 T2 T3 T4 T5 T6 T7 T8 CK Minimum delay between the Read and Write s = 4+1 = 5 cycles t DQZ t DQW COMMAND NOP READ A NOP NOP NOP NOP WRITE B NOP NOP I/O s : or DOUT A 0 DIN B 0 DIN B 1 DIN B 2 Must be i-z before the Write V54C3256(16/80/40)4V Rev. 1.6 August

22 ProMOS TECNOOGIES V54C3256(16/80/40)4V 4.2 Minimum Read to Write Interval (Burst ength = 4, latency = 2) T0 T1 T2 T3 T4 T5 T6 T7 T8 CK t DQZ t DQW 1 Clk Interval COMMAND NOP NOP BANK A ACTIVATE NOP READ A WRITE A NOP NOP NOP latency = 2 t CK2, I/O s Must be i-z before the Write DIN A0 DIN A1 DIN A2 DIN A3 : or 4.3 Non-Minimum Read to Write Interval (Burst ength = 4, latency = 2, 3) T0 T1 T2 T3 T4 T5 T6 T7 T8 CK t DQW t DQZ COMMAND NOP READ A NOP NOP READ A NOP WRITE B NOP NOP latency = 2 t CK1, I/O s latency = 3 t CK2, I/O s DOUT A 0 DOUT A 1 DIN B 0 DIN B 1 DIN B 2 Must be i-z before the Write DOUT A 0 DIN B 0 DIN B 1 DIN B 2 : or V54C3256(16/80/40)4V Rev. 1.6 August

23 ProMOS TECNOOGIES V54C3256(16/80/40)4V 5. Burst Write Operation (Burst ength = 4, latency = 2, 3) T0 T1 T2 T3 T4 T5 T6 T7 T8 CK COMMAND NOP WRITE A NOP NOP NOP NOP NOP NOP NOP I/O s DIN A 0 DIN A1 DIN A 2 DIN A 3 don t care The first data element and the Write are registered on the same clock edge. Extra data is ignored after termination of a Burst. 6.1 Write Interrupted by a Write (Burst ength = 4, latency = 2, 3) T0 T1 T2 T3 T4 T5 T6 T7 T8 CK t CCD COMMAND NOP WRITE A WRITE B NOP NOP NOP NOP NOP NOP 1 Clk Interval I/O s DIN A 0 DIN B 0 DIN B 1 DIN B 2 DIN B 3 V54C3256(16/80/40)4V Rev. 1.6 August

24 ProMOS TECNOOGIES V54C3256(16/80/40)4V 6.2 Write Interrupted by a Read (Burst ength = 4, latency = 2, 3) T0 T1 T2 T3 T4 T5 T6 T7 T8 CK COMMAND NOP WRITE A READ B NOP NOP NOP NOP NOP NOP latency = 2 t CK2, I/O s DIN A0 don t care DOUT B 0 DOUT B1 DOUT B2 DOUT B 3 latency = 3 t CK3, I/O s DIN A0 don t care don t care DOUT B0 DOUT B1 DOUT B2 DOUT B3 Input data must be removed from the I/O s at least one clock cycle before the Read datapears on the outputs to avoid data contention. 7.1 Burst Write with Auto- Burst ength = 2, latency = 2, 3) CK T0 T1 T2 T3 T4 T5 T6 T7 T8 BANK A WRITE A COMMAND ACTIVE NOP NOP NOP NOP NOP NOP Auto- NOP I/O s DIN A 0 DIN A 1 t WR * * Begin Autoprecharge t RP Bank can be reactivated after trp V54C3256(16/80/40)4V Rev. 1.6 August

25 ProMOS TECNOOGIES V54C3256(16/80/40)4V 7.2 Burst Read with Auto- Burst ength = 4, latency = 2, 3) T0 T1 T2 T3 T4 T5 T6 T7 T8 CK COMMAND READ A NOP NOP NOP NOP NOP NOP NOP NOP latency = 2 t CK2, I/O s latency = 3 t CK3, I/O s * DOUT A0 DOUT A1 DOUT A2 * t RP DOUT A3 t RP DOUT A0 DOUT A 1 DOUT A 2 DOUT A 3 * Begin Autoprecharge Bank can be reactivated after t RP V54C3256(16/80/40)4V Rev. 1.6 August

26 ProMOS TECNOOGIES V54C3256(16/80/40)4V 8.1 Termination of a Burst Read Operation ( latency = 2, 3) T0 T1 T2 T3 T4 T5 T6 T7 T8 CK COMMAND READ A NOP NOP NOP Burst Stop NOP NOP NOP NOP latency = 2 t CK2, I/O s DOUT A 0 DOUT A 1 DOUT A 2 DOUT A 3 latency = 3 t CK3, I/O s DOUT A 0 DOUT A 1 DOUT A 2 DOUT A Termination of a Burst Write Operation ( latency = 2, 3) T0 T1 T2 T3 T4 T5 T6 T7 T8 CK COMMAND NOP WRITE A NOP NOP Burst Stop NOP NOP NOP NOP latency = 2,3 I/O s DIN A 0 DIN A 1 DIN A 2 don t care Input data for the Write is masked. V54C3256(16/80/40)4V Rev. 1.6 August

27 ProMOS TECNOOGIES V54C3256(16/80/40)4V 9.1 AC Parameters for Write Timing Burst ength = 4, atency = 2 T0 T1 T2 T3 T4 T5 T6 T7 T8 T9 T10 T11 T12 T13 T14 T15 T16 T17 T18 T19 T20 T21 T22 CK t C t C t CK2 t CKS t IS t I Begin Auto Begin Auto t CK t IS t I t I RBx RAy RAz RBy t IS Addr CAx RBx CBx RAy RAy RAz RBy t RCD t DS t RC trp t D t DP t RRD I/O i-z Ax0 Ax1 Ax2 Ax3 Bx0 Bx1 Bx2 Bx3 Ay0 Ay1 Ay2 Ay3 Write with Auto Write with Auto Write V54C3256(16/80/40)4V Rev. 1.6 August

28 ProMOS TECNOOGIES V54C3256(16/80/40)4V \ 9.2 AC Parameters for Read Timing Burst ength = 2, atency = 2 T0 T1 T2 T3 T4 T5 T6 T7 T8 T9 T10 T11 T12 T13 CK t C t C t CK2 t CKS t IS t I Begin Auto t CK t I RBx RAy t IS Addr CAx RBx RBx RAy t RRD t t RC I/O t RCD t Z t AC2 t AC2 t O t Z i-z Ax0 Ax1 Bx0 Bx1 t RP t Z Read Read with Auto V54C3256(16/80/40)4V Rev. 1.6 August

29 ProMOS TECNOOGIES V54C3256(16/80/40)4V \ 10. Mode Register Set T0 T1 T2 T3 T4 T5 T6 T7 T8 T9 T10 T11 T12 T13 T14 T15 T16 T17 T18 T19 T20 T21 T22 CK 2 Clock min. t RSC Address Key Addr All Banks Mode Register Set Any V54C3256(16/80/40)4V Rev. 1.6 August

30 ProMOS TECNOOGIES V54C3256(16/80/40)4V \ 11. Power on Sequence and Auto Refresh (CBR) CK igh level is required Minimum of 2 Refresh Cycles are required Addr I/O i-z trp All Banks 1st Auto Refresh 2nd Auto Refresh Inputs must be stable for 200us t RC 2 Clock min. Address Key Mode Register Set Any V54C3256(16/80/40)4V Rev. 1.6 August

31 ProMOS TECNOOGIES V54C3256(16/80/40)4V \ 12. Power Down Mode Burst ength = 4, atency = 2 T0 T1 T2 T3 T4 T5 T6 T7 T8 T9 T10 T11 T12 T13 T14 T15 T16 T17 T18 T19 T20 T21 T22 CK t tcks SB Addr I/O i-z Power Down Mode Entry Power Down Mode Exit Any V54C3256(16/80/40)4V Rev. 1.6 August

32 ProMOS TECNOOGIES V54C3256(16/80/40)4V 13. Self Refresh (Entry and Exit) CK Addr I/O i-z All Banks must be idle Self Refresh Entry t CKS tsre trc Begin Self Refresh Exit Self Refresh Exit issued Self Refresh Exit V54C3256(16/80/40)4V Rev. 1.6 August

33 ProMOS TECNOOGIES V54C3256(16/80/40)4V \ 14. Auto Refresh (CBR) Burst ength = 4, atency = 2 T0 T1 T2 T3 T4 T5 T6 T7 T8 T9 T10 T11 T12 T13 T14 T15 T16 T17 T18 T19 T20 T21 T22 CK tck2 Addr CAx trp trc trc (Minimum Interval) i-z I/O Ax0 Ax1 Ax2 Ax3 All Banks Auto Refresh Auto Refresh Read V54C3256(16/80/40)4V Rev. 1.6 August

34 ProMOS TECNOOGIES V54C3256(16/80/40)4V \) 15.1 Random Column Read (Page within same Bank) (1 of 2) Burst ength = 4, atency = 2 T0 T1 T2 T3 T4 T5 T6 T7 T8 T9 T10 T11 T12 T13 T14 T15 T16 T17 T18 T19 T20 T21 T22 CK tck2 RAw RAz Addr RAw CAw CAx CAy RAz CAz I/O i-z Aw0 Aw1 Aw2 Aw3 Ax0 Ax1 Ay0 Ay1 Ay2 Ay3 Az0 Az1 Az2 Az3 Read Read Read Read V54C3256(16/80/40)4V Rev. 1.6 August

35 ProMOS TECNOOGIES V54C3256(16/80/40)4V \) 15.2 Random Column Read (Page within same Bank) (2 of 2) Burst ength = 4, atency = 3 T0 T1 T2 T3 T4 T5 T6 T7 T8 T9 T10 T11 T12 T13 T14 T15 T16 T17 T18 T19 T20 T21 T22 CK tck3 RAw RAz Addr RAw CAw CAx CAy RAz CAz I/O i-z Aw0 Aw1 Aw2 Aw3 Ax0 Ax1 Ay0 Ay1 Ay2 Ay3 Read Read Read Read V54C3256(16/80/40)4V Rev. 1.6 August

36 ProMOS TECNOOGIES V54C3256(16/80/40)4V \) 16.1 Random Column Write (Page within same Bank) (1 of 2) Burst ength = 4, atency = 2 T0 T1 T2 T3 T4 T5 T6 T7 T8 T9 T10 T11 T12 T13 T14 T15 T16 T17 T18 T19 T20 T21 T22 CK tck2 RBz RAw RBz Addr RBz CBz CBx CBy RAw RBz CAx CBz I/O i-z DBw0 DBw1 DBw2 DBw3 DBx0 DBx1 DBy0 DBy1 DBy2 DBy3 DBz0 DBz1 DBz2 DBz3 Write Write Write Write V54C3256(16/80/40)4V Rev. 1.6 August

37 ProMOS TECNOOGIES V54C3256(16/80/40)4V \) 16.2 Random Column Write (Page within same Bank) (2 of 2) Burst ength = 4, atency = 3 T0 T1 T2 T3 T4 T5 T6 T7 T8 T9 T10 T11 T12 T13 T14 T15 T16 T17 T18 T19 T20 T21 T22 CK tck3 RBz RBz Addr RBz CBz CBx CBy RBz CBz I/O i-z DBw0 DBw1 DBw2 DBw3 DBx0 DBx1 DBy0 DBy1 DBy2 DBy3 DBz0 DBz1 Write Write Write Write V54C3256(16/80/40)4V Rev. 1.6 August

38 ProMOS TECNOOGIES V54C3256(16/80/40)4V 17.1 Random Row Read (Interleaving Banks) (1 of 2) Burst ength = 8, atency = 2 T0 T1 T2 T3 T4 T5 T6 T7 T8 T9 T10 T11 T12 T13 T14 T15 T16 T17 T18 T19 T20 T21 T22 CK tck2 igh RBx RBy Addr RBx CBx CAx RBy CBy trcd tac2 trp i-z I/O Bx0 Bx1 Bx2 Bx3 Bx4 Bx5 Bx6 Bx7 Ax0 Ax1 Ax2 Ax3 Ax4 Ax5 Ax6 Ax7 By0 By1 Read Read Read V54C3256(16/80/40)4V Rev. 1.6 August

39 ProMOS TECNOOGIES V54C3256(16/80/40)4V 17.2 Random Row Read (Interleaving Banks) (2 of 2) Burst ength = 8, atency = 3 T0 T1 T2 T3 T4 T5 T6 T7 T8 T9 T10 T11 T12 T13 T14 T15 T16 T17 T18 T19 T20 T21 T22 CK tck3 igh RBx RBy Addr RBx CBx CAx RBy CBy trcd tac3 trp i-z I/O Bx0 Bx1 Bx2 Bx3 Bx4 Bx5 Bx6 Bx7 Ax0 Ax1 Ax2 Ax3 Ax4 Ax5 Ax6 Ax7 By0 Read Read Read V54C3256(16/80/40)4V Rev. 1.6 August

40 ProMOS TECNOOGIES V54C3256(16/80/40)4V 18.1 Random Row Write (Interleaving Banks) (1 of 2) Burst ength = 8, atency = 2 T0 T1 T2 T3 T4 T5 T6 T7 T8 T9 T10 T11 T12 T13 T14 T15 T16 T17 T18 T19 T20 T21 T22 CK tck2 igh RBx RAy Addr CAy CA RBx CBx RAy CAy trcd tdp trp tdp I/O i-z DAx0 DAx1 DAx2 DAx3 DAx4 DAx5 DAx6 DAx7 DBx0 DBx1 DBx2 DBx3 DBx4 DBx5 DBx6 DBx7 DAy0 DAy1 DAy2 DAy3 DAy4 Write Write Write V54C3256(16/80/40)4V Rev. 1.6 August

41 ProMOS TECNOOGIES V54C3256(16/80/40)4V 18.2 Random Row Write (Interleaving Banks) (2 of 2) Burst ength = 8, atency = 3 T0 T1 T2 T3 T4 T5 T6 T7 T8 T9 T10 T11 T12 T13 T14 T15 T16 T17 T18 T19 T20 T21 T22 CK t CK3 igh RBx RAy Addr CA RBx CBx RAy CAy t RCD t DP t RP t DP I/O i-z DAx0 DAx1 DAx2 DAx3 DAx4 DAx5 DAx6 DAx7 DBx0 DBx1 DBx2 DBx3 DBx4 DBx5 DBx6 DBx7 DAy0 DAy1 DAy2 DAy3 Write Write Write V54C3256(16/80/40)4V Rev. 1.6 August

42 ProMOS TECNOOGIES V54C3256(16/80/40)4V 19.1 Termination of a Burst (1 of 2) Burst ength = 8, atency = 2 T0 T1 T2 T3 T4 T5 T6 T7 T8 T9 T10 T11 T12 T13 T14 T15 T16 T17 T18 T19 T20 T21 T22 CK tck2 igh RAy RAz Addr CAx RAy CAy RAz CAz trp trp trp I/O i-z DAx0 DAx1 DAx2 DAx3 Ay0 Ay1 Ay2 Az0 Az1 Az2 Write Termination of a Write Burst. Write data is masked. Read Read Termination of a Read Burst. V54C3256(16/80/40)4V Rev. 1.6 August

43 ProMOS TECNOOGIES V54C3256(16/80/40)4V 19.2 Termination of a Burst (2 of 2) Burst ength = 4, 8, atency = 3 T0 T1 T2 T3 T4 T5 T6 T7 T8 T9 T10 T11 T12 T13 T14 T15 T16 T17 T18 T19 T20 T21 T22 CK tck3 igh RAy RAz Addr CAx RAy CAy RAz trp trp i-z I/O DAx0 Ay0 Ay1 Ay2 Write Read Write Data is masked Termination of a Write Burst. Termination of a Read Burst. V54C3256(16/80/40)4V Rev. 1.6 August

44 ProMOS TECNOOGIES V54C3256(16/80/40)4V 20.1 Full Page Read Cycle (1 of 2) Burst ength = Full Page, atency = 2 CK tck2 igh RBx RBy Addr CAx RBx CBx RBy t RP I/O i-z Ax Ax+1 Ax+2 Ax-2 Ax-1 Ax Ax+1 Bx Bx+1 Bx+2 Bx+3 Bx+4 Bx+5 Bx+6 Read Read The burst counter wraps from the highest order page address back to zero during this time interval. Full Page burst operation does not terminate when the burst length is satisfied; the burst counter increments and continues bursting beginning with the starting address. Burst Stop V54C3256(16/80/40)4V Rev. 1.6 August

45 ProMOS TECNOOGIES V54C3256(16/80/40)4V \ 20.2 Full Page Read Cycle (2 of 2) Burst ength = Full Page, atency = 3 CK tck3 igh RBx RBy Addr CAx RBx CBx RBy trrd I/O i-z Ax Ax+1 Ax+2 Ax-2 Ax-1 Ax Ax+1 Bx Bx+1 Bx+2 Bx+3 Bx+4 Bx+5 Read Read The burst counter wraps from the highest order page address back to zero during this time interval. Full Page burst operation does not terminate when the length is satisfied; the burst counter increments and continues bursting beginning with the starting address. Burst Stop V54C3256(16/80/40)4V Rev. 1.6 August

46 ProMOS TECNOOGIES V54C3256(16/80/40)4V 21.1 Full Page Write Cycle (1 of 2) Burst ength = Full Page, atency = 2 CK tck2 igh RBx RBy Addr CAx RBx CBx RBy I/O i-z DAx DAx+1 DAx+2 DAx+3 DAx-1 DAx DAx+1 DBx DBx+1 DBx+2 DBx+3 DBx+4 DBx+5 DBx+6 Write The burst counter wraps from the highest order page address back to zero Write Full Page burst operation does not terminate when the burst length is satisfied; the burst counter increments and continues Data is ignored. during this time interval. bursting beginning with the starting address. Burst Stop V54C3256(16/80/40)4V Rev. 1.6 August

47 ProMOS TECNOOGIES V54C3256(16/80/40)4V 21.2 Full Page Write Cycle (2 of 2) Burst ength = Full Page, atency = 3 CK t CK3 igh RBx RBy Addr CAx RBx CBx RBy I/O i-z DAx DAx+1 DAx+2 DAx+3 DAx-1 DAx DAx+1 DBx DBx+1 DBx+2 DBx+3 DBx+4 DBx+5 Data is ignored. Write The burst counter wraps from the highest order page address back to zero during this time interval. Write Full Page burst operation does not terminate when the length is satisfied; the burst counter increments and continues bursting beginning with the starting address. Burst Stop V54C3256(16/80/40)4V Rev. 1.6 August

48 ProMOS TECNOOGIES V54C3256(16/80/40)4V Complete ist of Operation s SDRAM Function Truth Table CURRENT STATE 1 Addr ACTION Idle Op- RA Code NOP or Power Down NOP IEGA 2 IEGA 2 Row (&Bank) Active; atch Row Address NOP 4 Auto-Refresh or Self-Refresh 5 Mode reg. Access 5 Row Active CA, CA, NOP NOP Begin Read; atch CA; Determine Begin Write; atch CA; Determine IEGA 2 IEGA Read CA, CA, NOP (Continue Burst to End;>Row Active) NOP (Continue Burst to End;>Row Active) Burst Stop > Row Active Term Burst, New Read, Determine 3 Term Burst, Start Write, Determine 3 IEGA 2 Term Burst, IEGA Write CA, CA, NOP (Continue Burst to End;>Row Active) NOP (Continue Burst to End;>Row Active) Burst Stop > Row Active Term Burst, Start Read, Determine 3 Term Burst, New Write, Determine 3 IEGA 2 Term Burst, 3 IEGA Read with Auto NOP (Continue Burst to End;> ) NOP (Continue Burst to End;> ) IEGA 2 IEGA 2 IEGA IEGA 2 IEGA 2 IEGA V54C3256(16/80/40)4V Rev. 1.6 August

49 ProMOS TECNOOGIES V54C3256(16/80/40)4V SDRAM Function Truth Table (continued) CURRENT STATE 1 Addr ACTION Write with Auto NOP (Continue Burst to End;> ) NOP (Continue Burst to End;> ) IEGA 2 IEGA 2 IEGA IEGA 2 IEGA 2 IEGA Precharging NOP;> Idle after trp NOP;> Idle after trp IEGA 2 IEGA 2 IEGA 2 NOP 4 IEGA Row Activating NOP;> Row Active after trcd NOP;> Row Active after trcd IEGA 2 IEGA 2 IEGA 2 IEGA 2 IEGA Write Recovering NOP NOP IEGA 2 IEGA 2 IEGA 2 IEGA 2 IEGA Refreshing NOP;> Idle after trc NOP;> Idle after trc IEGA IEGA IEGA IEGA Mode Register Accessing NOP NOP IEGA IEGA IEGA V54C3256(16/80/40)4V Rev. 1.6 August

50 ProMOS TECNOOGIES V54C3256(16/80/40)4V Clock Enable () Truth Table: STATE(n) n-1 n Addr ACTION Self-Refresh 6 INVAID EIT Self-Refresh, Idle after trc EIT Self-Refresh, Idle after trc IEGA IEGA IEGA NOP (Maintain Self-Refresh) Power-Down INVAID EIT Power-Down, > Idle. EIT Power-Down, > Idle. IEGA IEGA IEGA NOP (Maintain ow-power Mode) All. Banks Idle 7 Refer to the function truth table Enter Power- Down Enter Power- Down IEGA IEGA IEGA Enter Self-Refresh IEGA NOP Abbreviations: RA = Row Address of CA = Column Address of = ddress RB = Row Address of CB = Column Address of = Auto RC = Row Address of Bank C RD = Row Address of Bank D CC = Column Address of Bank C CD = Column Address of Bank D Notes for SDRAM function truth table: 1. Current State is state of the bank determined by. All entries assume that was active (IG) during the preceding clock cycle. 2. Illegal to bank in specified state; Function may be legal in the bank indicated by, depending on the state of that bank. 3. Must satisfy bus contention, bus turn around, and/or write recovery requirements. 4. NOP to bank precharging or in Idle state. May precharge bank(s) indicated by (and). 5. Illegal if any bank is not Idle. 6. ow to igh transition will re-enable CK and other inputs asynchronously. A minimum setup time must be satisfied before any command other than EIT. 7. Power-Down and Self-Refresh can be entered only from the All Banks Idle State. 8. Must be legal command as defined in the SDRAM function truth table. V54C3256(16/80/40)4V Rev. 1.6 August

51 ProMOS TECNOOGIES V54C3256(16/80/40)4V Package Diagram 54-Pin Plastic TSOP-II (400 mil) [1.20] MA 0.04 ±0.002 [1 ±0.05] ±0.005 [10.16 ±0.13] [0.80].008 [0.2] M 54x.004 [0.1] [0.15] MA ± [11.76 ± 0.20] ± [0.60 ±.020] Index Marking [ ] 1 Does not include plastic or metal protrusion of 0.15 max. per side Unit in inches [mm] V54C3256(16/80/40)4V Rev. 1.6 August

52 ProMOS TECNOOGIES V54C3256(16/80/40)4V WORDWIDE OFFICES Taiwan (sinchu) - eadquarters & Sales Office No.19, i sin Road, sinchu Science Park, sinchu 30078, Taiwan, R.O.C. PONE : FA : Taiwan (Taipei) - Sales Office 3F, No.367, Fuxing N. Road, Songshan Dist., Taipei City 105, Taiwan, R.O.C. PONE : FA : USA (East) - Sales Office 25 Creekside Road, opewell Junction, NY 12533, U.S.A. PONE : FA : Copyright, ProMOS TECNOOGY. Printed in U.S.A. The information in thisdocument is subject to change without notice. ProMOS TEC makes no commitment to update or keep current the information contained in this docment. No part of this document may be copied or reproduced in any form or by any means without the prior written consent of ProMOS TEC. ProMOS TEC subjects its products to normal quality control sampling techniques which are intended to provide an assurance of high quality products suitable for usual commercial applications. ProMOS TEC does not do testing appropriate to provide 100% product quality assurance and does not assume any liabity for consequential or incidental arising from any use of its products. If such products are to be used in applications in which personal injury might occur from failure, purchaser must do its own quality assurance testing appropriate to such applications. V54C3256(16/80/40)4V Rev. 1.6 August

V54C3128(16/80/40)4VB*I 128Mbit SDRAM, INDUSTRIAL TEMPERATURE 3.3 VOLT, TSOP II / FBGA 8M X 16, 16M X 8, 32M X 4

V54C3128(16/80/40)4VB*I 128Mbit SDRAM, INDUSTRIAL TEMPERATURE 3.3 VOLT, TSOP II / FBGA 8M X 16, 16M X 8, 32M X 4 128Mbit SDRAM, INDUSTRIA TEMPERATURE 3.3 VOT, TSOP II / FBGA 8M 16, 16M 8, 32M 4 6 7PC 7 System Frequency (f CK ) 166 Mz 143 Mz 143 Mz Clock Cycle Time (t CK3 ) 6 ns 7 ns 7 ns Clock Access Time (t AC3

More information

V6118 EM MICROELECTRONIC - MARIN SA. 2, 4 and 8 Mutiplex LCD Driver

V6118 EM MICROELECTRONIC - MARIN SA. 2, 4 and 8 Mutiplex LCD Driver EM MICROELECTRONIC - MARIN SA 2, 4 and 8 Mutiplex LCD Driver Description The is a universal low multiplex LCD driver. The version 2 drives two ways multiplex (two blackplanes) LCD, the version 4, four

More information

LY62L K X 16 BIT LOW POWER CMOS SRAM

LY62L K X 16 BIT LOW POWER CMOS SRAM REVISION ISTORY Revision Description Issue Date Rev. 1.0 Initial Issue Jul.25.2004 Rev. 2.0 Revised ISB(max) : 0.5mA => 1.25mA May.11.2006 Rev. 2.1 Revised Package Outline Dimension(TSOP-II) Apr.12.2007

More information

82C55A CHMOS PROGRAMMABLE PERIPHERAL INTERFACE

82C55A CHMOS PROGRAMMABLE PERIPHERAL INTERFACE Y Y Y Y Y 82C55A CHMOS PROGRAMMABLE PERIPHERAL INTERFACE Compatible with all Intel and Most Other Microprocessors High Speed Zero Wait State Operation with 8 MHz 8086 88 and 80186 188 24 Programmable I

More information

MT8812 ISO-CMOS. 8 x 12 Analog Switch Array. Features. Description. Applications

MT8812 ISO-CMOS. 8 x 12 Analog Switch Array. Features. Description. Applications MT882 8 x 2 Analog Switch Array Features Internal control latches and address decoder Short set-up and hold times Wide operating voltage: 4.5V to 4.5V 4Vpp analog signal capability R ON 65 max. @ V DD

More information

MT8814AP. ISO-CMOS 8 x 12 Analog Switch Array. Features. -40 to 85 C. Description. Applications

MT8814AP. ISO-CMOS 8 x 12 Analog Switch Array. Features. -40 to 85 C. Description. Applications MT884 8 x 2 Analog Switch Array Features Internal control latches and address decoder Short set-up and hold times Wide operating voltage: 4.5 to 3.2 2pp analog signal capability R ON 65Ω max. @ DD =2,

More information

MT8806 ISO-CMOS 8x4AnalogSwitchArray

MT8806 ISO-CMOS 8x4AnalogSwitchArray MT886 ISO-CMOS 8x4AnalogSwitchArray Features Internal control latches and address decoder Short set-up and hold times Wide operating voltage: 4.5 V to 3.2 V 2Vpp analog signal capability R ON 65 max. @

More information

MT x 12 Analog Switch Array

MT x 12 Analog Switch Array MT885 8 x 2 Analog Switch Array Features Internal control latches and address decoder Short set-up and hold times Wide operating voltage: 4.5V to 3.2V 2Vpp analog signal capability R ON 65 max. @ V DD

More information

Infineon HYB18T512160AF-3.7 DDR2 SDRAM Circuit Analysis

Infineon HYB18T512160AF-3.7 DDR2 SDRAM Circuit Analysis March 13, 2006 Infineon HYB18T512160AF-3.7 DDR2 SDRAM Circuit Analysis For questions, comments, or more information about this report, or for any additional technical needs concerning semiconductor technology,

More information

Octal 3-State Bus Transceivers and D Flip-Flops High-Performance Silicon-Gate CMOS

Octal 3-State Bus Transceivers and D Flip-Flops High-Performance Silicon-Gate CMOS TECNICA DATA IN74C652A Octal 3-State Bus Traceivers and D Flip-Flops igh-performance Silicon-Gate CMOS The IN74C652A is identical in pinout to the S/AS652. The device inputs are compatible with standard

More information

Nan Ya NT5DS32M8AT-7K 256M DDR SDRAM

Nan Ya NT5DS32M8AT-7K 256M DDR SDRAM Nan Ya NT5DS32M8AT-7K 256M DDR SDRAM Circuit Analysis 3685 Richmond Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel: 613.829.0414 Fax: 613.829.0515 www.chipworks.com Nan Ya NT5DS32M8AT-7K 32Mx8 DDR SDRAM

More information

INTEGRATED CIRCUITS DATA SHEET. TDA4510 PAL decoder. Product specification File under Integrated Circuits, IC02

INTEGRATED CIRCUITS DATA SHEET. TDA4510 PAL decoder. Product specification File under Integrated Circuits, IC02 INTEGRATED CIRCUITS DATA SHEET File under Integrated Circuits, IC02 March 1986 GENERAL DESCRIPTION The is a colour decoder for the PAL standard, which is pin sequent compatible with multistandard decoder

More information

SignalTap Plus System Analyzer

SignalTap Plus System Analyzer SignalTap Plus System Analyzer June 2000, ver. 1 Data Sheet Features Simultaneous internal programmable logic device (PLD) and external (board-level) logic analysis 32-channel external logic analyzer 166

More information

IS43/46R16800E, IS43/46R32400E

IS43/46R16800E, IS43/46R32400E 4Mx32, 8Mx16 128Mb DDR SDRAM FEATURES and : 2.5V ± 0.2V SSTL_2 compatible I/O Double-data rate architecture; two data transfers per clock cycle Bidirectional, data strobe DQS is transmitted/ received with

More information

A MISSILE INSTRUMENTATION ENCODER

A MISSILE INSTRUMENTATION ENCODER A MISSILE INSTRUMENTATION ENCODER Item Type text; Proceedings Authors CONN, RAYMOND; BREEDLOVE, PHILLIP Publisher International Foundation for Telemetering Journal International Telemetering Conference

More information

CS 152 Computer Architecture and Engineering

CS 152 Computer Architecture and Engineering CS 152 Computer Architecture and Engineering Lecture 12 Memory and Interfaces 2006-10-10 John Lazzaro (www.cs.berkeley.edu/~lazzaro) TAs: Udam Saini and Jue Sun www-inst.eecs.berkeley.edu/~cs152/ Last

More information

Sequencing. Lan-Da Van ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall,

Sequencing. Lan-Da Van ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall, Sequencing ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall, 2013 ldvan@cs.nctu.edu.tw http://www.cs.nctu.edu.tw/~ldvan/ Outlines Introduction Sequencing

More information

SMPTE-259M/DVB-ASI Scrambler/Controller

SMPTE-259M/DVB-ASI Scrambler/Controller SMPTE-259M/DVB-ASI Scrambler/Controller Features Fully compatible with SMPTE-259M Fully compatible with DVB-ASI Operates from a single +5V supply 44-pin PLCC package Encodes both 8- and 10-bit parallel

More information

PEEL 18CV8-5/-7/-10/-15/-25 CMOS Programmable Electrically Erasable Logic Device

PEEL 18CV8-5/-7/-10/-15/-25 CMOS Programmable Electrically Erasable Logic Device PEEL 18V8-5/-7/-10/-15/-25 MOS Programmable Electrically Erasable Logic Device Multiple Speed, Power, Temperature Options Speeds ranging from 5ns to 25ns Power as low as 37mA at 25MHz ommercial and ndustrial

More information

RST RST WATCHDOG TIMER N.C.

RST RST WATCHDOG TIMER N.C. 19-3899; Rev 1; 11/05 Microprocessor Monitor General Description The microprocessor (µp) supervisory circuit provides µp housekeeping and power-supply supervision functions while consuming only 1/10th

More information

LH28F800SG-L/SGH-L (FOR TSOP, CSP)

LH28F800SG-L/SGH-L (FOR TSOP, CSP) LH28F8SG-L/SGH-L (FOR TSOP, CSP) DESCRIPTION The LH28F8SG-L/SGH-L flash memories with SmartVoltage technology are high-density, low-cost, nonvolatile, read/write storage solution for a wide range of applications.

More information

DP8212 DP8212M 8-Bit Input Output Port

DP8212 DP8212M 8-Bit Input Output Port DP8212 DP8212M 8-Bit Input Output Port General Description The DP8212 DP8212M is an 8-bit input output port contained in a standard 24-pin dual-in-line package The device which is fabricated using Schottky

More information

LH28F160SGED-L M-bit (512 kb x 16 x 2-Bank) SmartVoltage Dual Work Flash Memory DESCRIPTION FEATURES LH28F160SGED-L10

LH28F160SGED-L M-bit (512 kb x 16 x 2-Bank) SmartVoltage Dual Work Flash Memory DESCRIPTION FEATURES LH28F160SGED-L10 DESCRIPTION The LH28F6SGED-L Dual Work flash memory with SmartVoltage technology is a high-density, low-cost, nonvolatile, read/write storage solution for a wide range of applications. The LH28F6SGED-

More information

HCF4054B 4 SEGMENT LIQUID CRYSTAL DISPLAY DRIVER WITH STROBED LATCH FUNCTION

HCF4054B 4 SEGMENT LIQUID CRYSTAL DISPLAY DRIVER WITH STROBED LATCH FUNCTION 4 SEGMENT LIQUID CRYSTAL DISPLAY DRIVER WITH STROBED LATCH FUNCTION QUIESCENT CURRENT SPECIF. UP TO 20V OPERATION OF LIQUID CRYSTALS WITH CMOS CIRCUITS PROVIDES ULTRA LOW POWER DISPLAYS EQUIVALENT AC OUTPUT

More information

FM25F01 1M-BIT SERIAL FLASH MEMORY

FM25F01 1M-BIT SERIAL FLASH MEMORY FM25F01 1M-BIT SERIAL FLASH MEMORY Dec. 2014 FM25F01 1M-BIT SERIAL FLASH MEMORY Ver. 1.2 1 INFORMATION IN THIS DOCUMENT IS INTENDED AS A REFERENCE TO ASSIST OUR CUSTOMERS IN THE SELECTION OF SHANGHAI FUDAN

More information

DM Segment Decoder/Driver/Latch with Constant Current Source Outputs

DM Segment Decoder/Driver/Latch with Constant Current Source Outputs DM9368 7-Segment Decoder/Driver/Latch with Constant Current Source Outputs General Description The DM9368 is a 7-segment decoder driver incorporating input latches and constant current output circuits

More information

DM Segment Decoder/Driver/Latch with Constant Current Source Outputs

DM Segment Decoder/Driver/Latch with Constant Current Source Outputs 7-Segment Decoder/Driver/Latch with Constant Current Source Outputs General Description The DM9368 is a 7-segment decoder driver incorporating input latches and constant current output circuits to drive

More information

IMS B007 A transputer based graphics board

IMS B007 A transputer based graphics board IMS B007 A transputer based graphics board INMOS Technical Note 12 Ray McConnell April 1987 72-TCH-012-01 You may not: 1. Modify the Materials or use them for any commercial purpose, or any public display,

More information

74F273 Octal D-Type Flip-Flop

74F273 Octal D-Type Flip-Flop Octal D-Type Flip-Flop General Description The 74F273 has eight edge-triggered D-type flip-flops with individual D inputs and Q outputs. The common buffered Clock (CP) and Master Reset (MR) inputs load

More information

TIL311 HEXADECIMAL DISPLAY WITH LOGIC

TIL311 HEXADECIMAL DISPLAY WITH LOGIC TIL311 Internal TTL MSI IC with Latch, Decoder, and Driver 0.300-Inch (7,62-mm) Character Height Wide Viewing Angle High Brightness Left-and-Right-Hand Decimals Constant-Current Drive for Hexadecimal Characters

More information

HT162X HT1620 HT1621 HT1622 HT16220 HT1623 HT1625 HT1626 COM

HT162X HT1620 HT1621 HT1622 HT16220 HT1623 HT1625 HT1626 COM Crystalfontz Thiscontrolerdatasheetwasdownloadedfrom htp:/www.crystalfontz.com/controlers/ HT1620 RAM Mapping 324 LCD Controller for I/O MCU Features Logic operating voltage: 2.4V~3.3V LCD voltage: 3.6V~4.9V

More information

Product Update. JTAG Issues and the Use of RT54SX Devices

Product Update. JTAG Issues and the Use of RT54SX Devices Product Update Revision Date: September 2, 999 JTAG Issues and the Use of RT54SX Devices BACKGROUND The attached paper authored by Richard B. Katz of NASA GSFC and J. J. Wang of Actel describes anomalies

More information

description SCAS668A NOVEMBER 2001 REVISED MARCH 2003 Copyright 2003, Texas Instruments Incorporated

description SCAS668A NOVEMBER 2001 REVISED MARCH 2003 Copyright 2003, Texas Instruments Incorporated SN74V3640, SN74V3650, SN74V3660, SN74V3670, SN74V3680, SN74V3690 Choice of Memory Organizations SN74V3640 1024 36 Bit SN74V3650 2048 36 Bit SN74V3660 4096 36 Bit SN74V3670 8192 36 Bit SN74V3680 16384 36

More information

MACH130-15/20. Lattice/Vantis. High-Density EE CMOS Programmable Logic

MACH130-15/20. Lattice/Vantis. High-Density EE CMOS Programmable Logic FINAL COM L: -15/20 IND: -18/24 MACH130-15/20 High-Density EE CMOS Programmable Logic Lattice/Vantis DISTINCTIVE CHARACTERISTICS 84 Pins 64 cells 15 ns tpd Commercial 18 ns tpd Industrial 66.6 MHz fcnt

More information

JTAG Test Controller

JTAG Test Controller Description JTAG Test Controller The device provides an interface between the 60x bus on the Motorola MPC8260 processor and two totally independent IEEE1149.1 interfaces, namely, the primary and secondary

More information

BUSES IN COMPUTER ARCHITECTURE

BUSES IN COMPUTER ARCHITECTURE BUSES IN COMPUTER ARCHITECTURE The processor, main memory, and I/O devices can be interconnected by means of a common bus whose primary function is to provide a communication path for the transfer of data.

More information

S6B CH SEGMENT DRIVER FOR DOT MATRIX LCD

S6B CH SEGMENT DRIVER FOR DOT MATRIX LCD 64 CH SEGMENT DRIVER FOR DOT MATRIX LCD June. 2000. Ver. 0.0 Contents in this document are subject to change without notice. No part of this document may be reproduced or transmitted in any form or by

More information

64CH SEGMENT DRIVER FOR DOT MATRIX LCD

64CH SEGMENT DRIVER FOR DOT MATRIX LCD 64CH SEGMENT DRIVER FOR DOT MATRIX LCD INTRODUCTION The (TQFP type: S6B2108) is a LCD driver LSI with 64 channel output for dot matrix liquid crystal graphic display systems. This device consists of the

More information

IS43/46R83200F IS43/46R16160F IS43/46R32800F

IS43/46R83200F IS43/46R16160F IS43/46R32800F IS43/46R16160F IS43/46R32800F 8Mx32, 16Mx16, 32Mx8 256Mb DDR SDRAM FEATURES and : 2.5V ± 0.2V SSTL_2 compatible I/O Double-data rate architecture; two data transfers per clock cycle Bidirectional, data

More information

Complete 12-Bit 40 MHz CCD Signal Processor AD9945

Complete 12-Bit 40 MHz CCD Signal Processor AD9945 Complete 12-Bit 40 MHz CCD Signal Processor AD9945 FEATURES 40 MSPS Correlated Double Sampler (CDS) 6 db to 40 db 10-Bit Variable Gain Amplifier (VGA) Low Noise Optical Black Clamp Circuit Preblanking

More information

LM16X21A Dot Matrix LCD Unit

LM16X21A Dot Matrix LCD Unit LCD Data Sheet FEATURES STC (Super Twisted igh Contrast) Yellow Green Transmissive Type Low Power Consumption Thin, Lightweight Design Permits Easy Installation in a Variety of Equipment General Purpose

More information

ASNT8140. ASNT8140-KMC DC-23Gbps PRBS Generator with the (x 7 + x + 1) Polynomial. vee. vcc qp. vcc. vcc qn. qxorp. qxorn. vee. vcc rstn_p.

ASNT8140. ASNT8140-KMC DC-23Gbps PRBS Generator with the (x 7 + x + 1) Polynomial. vee. vcc qp. vcc. vcc qn. qxorp. qxorn. vee. vcc rstn_p. ASNT8140-KMC DC-23Gbps PRBS Generator with the (x 7 + x + 1) Polynomial Full-length (2 7-1) pseudo-random binary sequence (PRBS) generator DC to 23Gbps output data rate Additional output delayed by half

More information

Distributed by: www.jameco.com --3-4242 The content and copyrights of the attached material are the property of its owner. E2O2-27-X3 Semiconductor MSM2C55A-2RS/GS/VJS This version: Jan. 99 Previous version:

More information

DLP Pico Chipset Interface Manual

DLP Pico Chipset Interface Manual Data Sheet TI DN 2510477 Rev A May 2009 DLP Pico Chipset Interface Manual Data Sheet TI DN 2510477 Rev A May 2009 IMPORTANT NOTICE BEFORE USING TECHNICAL INFORMATION, THE USER SHOULD CAREFULLY READ THE

More information

Introduction. NAND Gate Latch. Digital Logic Design 1 FLIP-FLOP. Digital Logic Design 1

Introduction. NAND Gate Latch.  Digital Logic Design 1 FLIP-FLOP. Digital Logic Design 1 2007 Introduction BK TP.HCM FLIP-FLOP So far we have seen Combinational Logic The output(s) depends only on the current values of the input variables Here we will look at Sequential Logic circuits The

More information

Complete 10-Bit, 25 MHz CCD Signal Processor AD9943

Complete 10-Bit, 25 MHz CCD Signal Processor AD9943 a FEATURES 25 MSPS Correlated Double Sampler (CDS) 6 db to 40 db 10-Bit Variable Gain Amplifier (VGA) Low Noise Optical Black Clamp Circuit Preblanking Function 10-Bit, 25 MSPS A/D Converter No Missing

More information

MACH220-10/12/15/20. Lattice Semiconductor. High-Density EE CMOS Programmable Logic DISTINCTIVE CHARACTERISTICS GENERAL DESCRIPTION BLOCK DIAGRAM

MACH220-10/12/15/20. Lattice Semiconductor. High-Density EE CMOS Programmable Logic DISTINCTIVE CHARACTERISTICS GENERAL DESCRIPTION BLOCK DIAGRAM FINAL COM L: -10/12/15/20 IND: -14/18/24 MACH220-10/12/15/20 High-Density EE CMOS Programmable Logic Lattice Semiconductor DISTINCTIVE CHARACTERISTICS 8 Pins 9 10 ns tpd 100 MHz fcnt 5 Inputs with pull-up

More information

OBSOLETE. CMOS 80 MHz Monolithic (18) Color Palette RAM-DACs ADV478/ADV471

OBSOLETE. CMOS 80 MHz Monolithic (18) Color Palette RAM-DACs ADV478/ADV471 a FEATURES Personal System/2* Compatible 80 MHz Pipelined Operation Triple 8-Bit (6-Bit) D/A Converters 256 24(18) Color Palette RAM 15 24(18) Overlay Registers RS-343A/RS-170 Compatible Outputs Sync on

More information

ASNT_PRBS20B_1 18Gbps PRBS7/15 Generator Featuring Jitter Insertion, Selectable Sync, and Output Amplitude Control

ASNT_PRBS20B_1 18Gbps PRBS7/15 Generator Featuring Jitter Insertion, Selectable Sync, and Output Amplitude Control ASNT_PRBS20B_1 18Gbps PRBS7/15 Generator Featuring Jitter Insertion, Selectable Sync, and Output Amplitude Control Broadband frequency range from 20Mbps 18.0Gbps Minimal insertion jitter Fast rise and

More information

The outputs are formed by a combinational logic function of the inputs to the circuit or the values stored in the flip-flops (or both).

The outputs are formed by a combinational logic function of the inputs to the circuit or the values stored in the flip-flops (or both). 1 The outputs are formed by a combinational logic function of the inputs to the circuit or the values stored in the flip-flops (or both). The value that is stored in a flip-flop when the clock pulse occurs

More information

DIGITAL ELECTRONICS MCQs

DIGITAL ELECTRONICS MCQs DIGITAL ELECTRONICS MCQs 1. A 8-bit serial in / parallel out shift register contains the value 8, clock signal(s) will be required to shift the value completely out of the register. A. 1 B. 2 C. 4 D. 8

More information

ASNT8142-KMC Generator of DC-to-23Gbps PRBS with Selectable Polynomials

ASNT8142-KMC Generator of DC-to-23Gbps PRBS with Selectable Polynomials ASNT8142-KMC Generator of DC-to-23Gbps PRBS with Selectable Polynomials Full-length (2 15-1) or (2 7-1) pseudo-random binary sequence (PRBS) generator Selectable power of the Polynomial DC to 23Gbps output

More information

BYTE-WIDE SmartVoltage FlashFile MEMORY FAMILY 4, 8, AND 16 MBIT

BYTE-WIDE SmartVoltage FlashFile MEMORY FAMILY 4, 8, AND 16 MBIT BYTE-WIDE SmartVoltage FlashFile MEMORY FAMILY 4, 8, AND 6 MBIT 28F4SC, 28F8SC, 28F6SC Includes Commercial and Extended Temperature Specifications n n n n n SmartVoltage Technology 2.7V (Read-Only), 3.3V

More information

LH28F320S3TD-L M-bit (2 MB x 8/1 MB x 16 x 2-Bank) Smart 3 Dual Work Flash Memory DESCRIPTION FEATURES LH28F320S3TD-L10

LH28F320S3TD-L M-bit (2 MB x 8/1 MB x 16 x 2-Bank) Smart 3 Dual Work Flash Memory DESCRIPTION FEATURES LH28F320S3TD-L10 DESCRIPTION The LH28F32S3TD-L Dual Work flash memory with Smart 3 technology is a high-density, low-cost, nonvolatile, read/write storage solution for a wide range of applications, having high programming

More information

Scans and encodes up to a 64-key keyboard. DB 1 DB 2 DB 3 DB 4 DB 5 DB 6 DB 7 V SS. display information.

Scans and encodes up to a 64-key keyboard. DB 1 DB 2 DB 3 DB 4 DB 5 DB 6 DB 7 V SS. display information. Programmable Keyboard/Display Interface - 8279 A programmable keyboard and display interfacing chip. Scans and encodes up to a 64-key keyboard. Controls up to a 16-digit numerical display. Keyboard has

More information

MC54/74F568 MC54/74F569 4-BIT BIDIRECTIONAL COUNTERS (WITH 3-STATE OUTPUTS) 4-BIT BIDIRECTIONAL COUNTERS (WITH 3-STATE OUTPUTS)

MC54/74F568 MC54/74F569 4-BIT BIDIRECTIONAL COUNTERS (WITH 3-STATE OUTPUTS) 4-BIT BIDIRECTIONAL COUNTERS (WITH 3-STATE OUTPUTS) 4-BIT BIDIRECTIONAL COUNTERS (WITH 3-STATE OUTPUTS) The MC54/ 74F568 and MC54/74F569 are fully synchronous, reversible counters with 3-state outputs. The F568 is a BCD decade counter; the F569 is a binary

More information

VFD Driver/Controller IC

VFD Driver/Controller IC DESCRIPTION is a Vacuum Fluorescent Display (VFD) Controller driven on a 1/4 to 1/11 duty factor. Eleven segment output lines, 6 grid output lines, 5 segment/grid output drive lines, one display memory,

More information

IT T35 Digital system desigm y - ii /s - iii

IT T35 Digital system desigm y - ii /s - iii UNIT - III Sequential Logic I Sequential circuits: latches flip flops analysis of clocked sequential circuits state reduction and assignments Registers and Counters: Registers shift registers ripple counters

More information

DS2176 T1 Receive Buffer

DS2176 T1 Receive Buffer T1 Receive Buffer www.dalsemi.com FEATURES Synchronizes loop timed and system timed T1 data streams Two frame buffer depth; slips occur on frame boundaries Output indicates when slip occurs Buffer may

More information

PALCE26V12 Family. 28-Pin EE CMOS Versatile PAL Device DISTINCTIVE CHARACTERISTICS GENERAL DESCRIPTION FINAL COM L: H-7/10/15/20 IND: H-10/15/20

PALCE26V12 Family. 28-Pin EE CMOS Versatile PAL Device DISTINCTIVE CHARACTERISTICS GENERAL DESCRIPTION FINAL COM L: H-7/10/15/20 IND: H-10/15/20 FINAL COM L: H-7//5/2 IND: H-/5/2 PALCE26V2 Family 28-Pin EE CMOS Versatile PAL Device DISTINCTIVE CHACTERISTICS 28-pin versatile PAL programmable logic device architecture Electrically erasable CMOS technology

More information

Chapter 2. Digital Circuits

Chapter 2. Digital Circuits Chapter 2. Digital Circuits Logic gates Flip-flops FF registers IC registers Data bus Encoders/Decoders Multiplexers Troubleshooting digital circuits Most contents of this chapter were covered in 88-217

More information

MAX7461 Loss-of-Sync Alarm

MAX7461 Loss-of-Sync Alarm General Description The single-channel loss-of-sync alarm () provides composite video sync detection in NTSC, PAL, and SECAM standard-definition television (SDTV) systems. The s advanced detection circuitry

More information

5 VOLT FlashFile MEMORY

5 VOLT FlashFile MEMORY 5 VOLT FlashFile MEMORY 28F4S5, 28F8S5, 28F6S5 (x8) n n n n n n SmartVoltage Technology 5 Volt Flash: 5 V V CC and 5 V or 2 V V PP High-Performance 85 ns Read Access Time Enhanced Data Protection Features

More information

Complete 10-Bit/12-Bit, 25 MHz CCD Signal Processor AD9943/AD9944

Complete 10-Bit/12-Bit, 25 MHz CCD Signal Processor AD9943/AD9944 a FEATURES 25 MSPS Correlated Double Sampler (CDS) 6 db to 40 db 10-Bit Variable Gain Amplifier (VGA) Low Noise Optical Black Clamp Circuit Preblanking Function 10-Bit (AD9943), 12-Bit (AD9944), 25 MSPS

More information

HCC4054B/55B/56B HCF4054B/55B/56B

HCC4054B/55B/56B HCF4054B/55B/56B HCC454B/55B/56B HCF454B/55B/56B LIQUID-CRYSTAL DISPLAY DRIERS 454B 4-SEGMENT DISPLAY DRIER - STROBED LATCH FUNCTION 455B BCD TO 7-SEGMENT DECODER/DRIER, WITH DIS- PLAY-FREQUENCY OUTPUT 456B BCD TO 7-SEGMENT

More information

DM Segment Decoder Driver Latch with Constant Current Source Outputs

DM Segment Decoder Driver Latch with Constant Current Source Outputs DM9368 7-Segment Decoder Driver Latch with Constant Current Source Outputs General Description The DM9368 is a 7-segment decoder driver incorporating input latches and constant current output circuits

More information

3-Channel 8-Bit D/A Converter

3-Channel 8-Bit D/A Converter FUJITSU SEMICONDUCTOR DATA SHEET DS04-2316-2E ASSP 3-Channel -Bit D/A Converter MB409 DESCRIPTION The MB409 is an -bit resolution ultra high-speed digital-to-analog converter, designed for video processing

More information

VFD Driver/Controller IC

VFD Driver/Controller IC 查询 供应商 Tel : 886-2-29162151 DESCRIPTION is a Vacuum Fluorescent Display (VFD) Controller driven on a 1/4 to 1/12 duty factor. Sixteen segment output lines, 4 grid output lines, 8 segment/grid output drive

More information

Chapter 7 Memory and Programmable Logic

Chapter 7 Memory and Programmable Logic EEA091 - Digital Logic 數位邏輯 Chapter 7 Memory and Programmable Logic 吳俊興國立高雄大學資訊工程學系 2006 Chapter 7 Memory and Programmable Logic 7-1 Introduction 7-2 Random-Access Memory 7-3 Memory Decoding 7-4 Error

More information

Obsolete Product(s) - Obsolete Product(s)

Obsolete Product(s) - Obsolete Product(s) OCTAL BUS TRANSCEIVER/REGISTER WITH 3 STATE OUTPUTS HIGH SPEED: f MAX = 60 MHz (TYP.) at V CC = 4.5V LOW POWER DISSIPATION: I CC = 4µA(MAX.) at T A =25 C COMPATIBLE WITH TTL OUTPUTS : V IH = 2V (MIN.)

More information

NT Output LCD Segment/Common Driver NT7701. Features. General Description. Pin Configuration 1 V1.0

NT Output LCD Segment/Common Driver NT7701. Features. General Description. Pin Configuration 1 V1.0 160 Output LCD Segment/Common Driver Features (Segment mode)! Shift Clock frequency : 14 MHz (Max.) (VDD = 5V ± 10%) 8 MHz (Max.) (VDD = 2.5V - 4.5V)! Adopts a data bus system! 4-bit/8-bit parallel input

More information

MUHAMMAD NAEEM LATIF MCS 3 RD SEMESTER KHANEWAL

MUHAMMAD NAEEM LATIF MCS 3 RD SEMESTER KHANEWAL 1. A stage in a shift register consists of (a) a latch (b) a flip-flop (c) a byte of storage (d) from bits of storage 2. To serially shift a byte of data into a shift register, there must be (a) one click

More information

DATASHEET HA457. Features. Applications. Ordering Information. Pinouts. 95MHz, Low Power, AV = 2, 8 x 8 Video Crosspoint Switch

DATASHEET HA457. Features. Applications. Ordering Information. Pinouts. 95MHz, Low Power, AV = 2, 8 x 8 Video Crosspoint Switch DATASHEET HA457 95MHz, Low Power, AV = 2, 8 x 8 Video Crosspoint Switch FN4231 Rev 2. The HA457 is an 8 x 8 video crosspoint switch suitable for high performance video systems. Its high level of integration

More information

Is Now Part of To learn more about ON Semiconductor, please visit our website at

Is Now Part of To learn more about ON Semiconductor, please visit our website at Is Now Part of To learn more about ON Semiconductor, please visit our website at www.onsemi.com ON Semiconductor and the ON Semiconductor logo are trademarks of Semiconductor Components Industries, LLC

More information

16 Stage Bi-Directional LED Sequencer

16 Stage Bi-Directional LED Sequencer 16 Stage Bi-Directional LED Sequencer The bi-directional sequencer uses a 4 bit binary up/down counter (CD4516) and two "1 of 8 line decoders" (74HC138 or 74HCT138) to generate the popular "Night Rider"

More information

A * Rockwell. R6500 Microcomputer System DATA SHEET CRT CONTROLLER (CRTC) r- r- 31 O PART NUMBER R FEATURES DESCRIPTION O 30-4 O O

A * Rockwell. R6500 Microcomputer System DATA SHEET CRT CONTROLLER (CRTC) r- r- 31 O PART NUMBER R FEATURES DESCRIPTION O 30-4 O O PART NUMBER R6545-1 A * Rockwell R6500 Microcomputer System DATA SHEET CRT CONTROLLER (CRTC) DESCRIPTION The R6545-1 CRT Controller (CRTC) is designed to interface an 8-bit microprocessor to CRT raster

More information

74LVQ374 Low Voltage Octal D-Type Flip-Flop with 3-STATE Outputs

74LVQ374 Low Voltage Octal D-Type Flip-Flop with 3-STATE Outputs 74LVQ374 Low Voltage Octal D-Type Flip-Flop with 3-STATE Outputs General Description The LVQ374 is a high-speed, low-power octal D-type flip-flop featuring separate D-type inputs for each flip-flop and

More information

DDR2 Application Note

DDR2 Application Note DDR2 ODT(On Die Termination) Control March 2006 Engineering Team MEMORY DIVISION SAMSUNG ELECTRONICS Co., LTD DDR2 ODT (On Die Termination) On board termination resistance is integrated inside of Motherboard

More information

FEATURES DESCRIPTION APPLICATION BLOCK DIAGRAM. PT6311 VFD Driver/Controller IC

FEATURES DESCRIPTION APPLICATION BLOCK DIAGRAM. PT6311 VFD Driver/Controller IC VFD Driver/Controller IC DESCRIPTION PT6311 is a Vacuum Fluorescent Display (VFD) Controller driven on a 1/8 to 1/16 duty factor housed in 52-pin plastic LQFP Package. Twelve segment output lines, 8 grid

More information

SN74V263, SN74V273, SN74V283, SN74V , , , V CMOS FIRST-IN, FIRST-OUT MEMORIES

SN74V263, SN74V273, SN74V283, SN74V , , , V CMOS FIRST-IN, FIRST-OUT MEMORIES Choice of Memory Organizations SN74V263 8192 18/16384 9 SN74V273 16384 18/32768 9 SN74V283 32768 18/65536 9 SN74V293 65536 18/131072 9 166-MHz Operation 6-ns Read/Write Cycle Time User-Selectable Input

More information

Chapter 7 Sequential Circuits

Chapter 7 Sequential Circuits Chapter 7 Sequential Circuits Jin-Fu Li Advanced Reliable Systems (ARES) Lab. epartment of Electrical Engineering National Central University Jungli, Taiwan Outline Latches & Registers Sequencing Timing

More information

More on Flip-Flops Digital Design and Computer Architecture: ARM Edition 2015 Chapter 3 <98> 98

More on Flip-Flops Digital Design and Computer Architecture: ARM Edition 2015 Chapter 3 <98> 98 More on Flip-Flops Digital Design and Computer Architecture: ARM Edition 2015 Chapter 3 98 Review: Bit Storage SR latch S (set) Q R (reset) Level-sensitive SR latch S S1 C R R1 Q D C S R D latch Q

More information

Features. PFD Output Voltage 2000 mv, Pk - Pk. PFD Gain Gain = Vpp / 2π Rad khz 100 MHz Square Wave Ref.

Features. PFD Output Voltage 2000 mv, Pk - Pk. PFD Gain Gain = Vpp / 2π Rad khz 100 MHz Square Wave Ref. HMC98LP5 / 98LP5E Typical Applications The HMC98LP5(E) is ideal for: Satellite Communication Systems Point-to-Point Radios Military Applications Sonet Clock Generation Functional Diagram Features Ultra

More information

Chapter 5 Flip-Flops and Related Devices

Chapter 5 Flip-Flops and Related Devices Chapter 5 Flip-Flops and Related Devices Chapter 5 Objectives Selected areas covered in this chapter: Constructing/analyzing operation of latch flip-flops made from NAND or NOR gates. Differences of synchronous/asynchronous

More information

FLIP-FLOPS AND RELATED DEVICES

FLIP-FLOPS AND RELATED DEVICES C H A P T E R 5 FLIP-FLOPS AND RELATED DEVICES OUTLINE 5- NAND Gate Latch 5-2 NOR Gate Latch 5-3 Troubleshooting Case Study 5-4 Digital Pulses 5-5 Clock Signals and Clocked Flip-Flops 5-6 Clocked S-R Flip-Flop

More information

Combinational vs Sequential

Combinational vs Sequential Combinational vs Sequential inputs X Combinational Circuits outputs Z A combinational circuit: At any time, outputs depends only on inputs Changing inputs changes outputs No regard for previous inputs

More information

AN-822 APPLICATION NOTE

AN-822 APPLICATION NOTE APPLICATION NOTE One Technology Way P.O. Box 9106 Norwood, MA 02062-9106, U.S.A. Tel: 781.329.4700 Fax: 781.461.3113 www.analog.com Synchronization of Multiple AD9779 Txs by Steve Reine and Gina Colangelo

More information

Description. Kingbright

Description. Kingbright 12 SEGMENT BAR GRAPH ARRAY Part Number: DD-12SYKWB Super Bright Yellow Features Suitable for level indicators. Low current operation. Wide viewing angle. Mechanically rugged. Different colors in one unit

More information

Maintenance/ Discontinued

Maintenance/ Discontinued A/D, D/C Converters for Image Signal Processing MN65531AS Low Power 6-Bit CMOS A/D Converter for Image Processing Overview The MN65531AS is a totally parallel 6-bit CMOS analog-to-digital converter with

More information

APPLICATION NOTE VACUUM FLUORESCENT DISPLAY MODULE

APPLICATION NOTE VACUUM FLUORESCENT DISPLAY MODULE AN-E-3237A APPLICATION NOTE VACUUM FLUORESCENT DISPLAY MODULE GRAPIC DISPLAY MODULE GP92A1A GENERAL DESCRIPTION FUTABA GP92A1A is a graphic display module using a FUTABA 128 64 VFD. Consisting of a VFD,

More information

MC54/74F568 MC54/74F569 4-BIT BIDIRECTIONAL COUNTERS (WITH 3-STATE OUTPUTS) 4-BIT BIDIRECTIONAL COUNTERS (WITH 3-STATE OUTPUTS)

MC54/74F568 MC54/74F569 4-BIT BIDIRECTIONAL COUNTERS (WITH 3-STATE OUTPUTS) 4-BIT BIDIRECTIONAL COUNTERS (WITH 3-STATE OUTPUTS) 4-BIT BIDIRECTIONAL COUNTERS (WITH 3-STATE OUTPUTS) The MC54/ 74F568 and MC54/74F569 are fully synchronous, reversible counters with 3-state outputs. The F568 is a BCD decade counter; the F569 is a binary

More information

3 VOLT FlashFile MEMORY

3 VOLT FlashFile MEMORY 3 VOLT FlashFile MEMORY 28F4S3, 28F8S3, 28F6S3 (x8) n n n n n SmartVoltage Technology 2.7 V (Read-Only) or 3.3 V V CC and 3.3 V or 2 V V PP High-Performance 2 ns Read Access Time Enhanced Data Protection

More information

ADC Peripheral in Microcontrollers. Petr Cesak, Jan Fischer, Jaroslav Roztocil

ADC Peripheral in Microcontrollers. Petr Cesak, Jan Fischer, Jaroslav Roztocil ADC Peripheral in s Petr Cesak, Jan Fischer, Jaroslav Roztocil Czech Technical University in Prague, Faculty of Electrical Engineering Technicka 2, CZ-16627 Prague 6, Czech Republic Phone: +420-224 352

More information

USE GAL DEVICES FOR NEW DESIGNS

USE GAL DEVICES FOR NEW DESIGNS USE GAL DEVICES FOR NEW DESIGNS FINAL COM L: H-7//5/2 IND: H-/5/2 PALCE26V2 Family 28-Pin EE CMOS Versatile PAL Device DISTINCTIVE CHACTERISTICS 28-pin versatile PAL programmable logic device architecture

More information

ML6428. S-Video Filter and 75Ω Line Drivers with Summed Composite Output. Features. General Description. Block Diagram Σ BUFFER.

ML6428. S-Video Filter and 75Ω Line Drivers with Summed Composite Output. Features. General Description. Block Diagram Σ BUFFER. www.fairchildsemi.com ML S-Video Filter and Line Drivers with Summed Composite Output Features.MHz Y and C filters, with CV out for NTSC or PAL cable line driver for Y, C, CV, and TV modulator db stopband

More information

PGT104 Digital Electronics. PGT104 Digital Electronics

PGT104 Digital Electronics. PGT104 Digital Electronics 1 Part 5 Latches, Flip-flop and Timers isclaimer: Most of the contents (if not all) are extracted from resources available for igital Fundamentals 10 th Edition 2 Latches A latch is a temporary storage

More information

LDS Channel Ultra Low Dropout LED Driver FEATURES APPLICATION DESCRIPTION TYPICAL APPLICATION CIRCUIT

LDS Channel Ultra Low Dropout LED Driver FEATURES APPLICATION DESCRIPTION TYPICAL APPLICATION CIRCUIT 6-Channel Ultra Low Dropout LED Driver FEATURES o Charge pump modes: 1x, 1.33x, 1.5x, 2x o Ultra low dropout PowerLite Current Regulator* o Drives up to 6 LEDs at 32mA each o 1-wire LED current programming

More information

CHAPTER 6 ASYNCHRONOUS QUASI DELAY INSENSITIVE TEMPLATES (QDI) BASED VITERBI DECODER

CHAPTER 6 ASYNCHRONOUS QUASI DELAY INSENSITIVE TEMPLATES (QDI) BASED VITERBI DECODER 80 CHAPTER 6 ASYNCHRONOUS QUASI DELAY INSENSITIVE TEMPLATES (QDI) BASED VITERBI DECODER 6.1 INTRODUCTION Asynchronous designs are increasingly used to counter the disadvantages of synchronous designs.

More information

EM6126 EM MICROELECTRONIC - MARIN SA. Digitally programmable 65 and 81 multiplex rate LCD Controller and Driver. Features. Typical Applications

EM6126 EM MICROELECTRONIC - MARIN SA. Digitally programmable 65 and 81 multiplex rate LCD Controller and Driver. Features. Typical Applications EM MICROELECTRONIC - MARIN SA EM616 Digitally programmable 65 and 81 multiplex rate LCD Controller and Driver Features Slim IC for COG, COF and COB technologies I C & Serial bus interface Internal display

More information

Static Timing Analysis for Nanometer Designs

Static Timing Analysis for Nanometer Designs J. Bhasker Rakesh Chadha Static Timing Analysis for Nanometer Designs A Practical Approach 4y Spri ringer Contents Preface xv CHAPTER 1: Introduction / 1.1 Nanometer Designs 1 1.2 What is Static Timing

More information