Mitigating FPGA Interconnect Soft Errors by In-Place LUT Inversion

Size: px
Start display at page:

Download "Mitigating FPGA Interconnect Soft Errors by In-Place LUT Inversion"

Transcription

1 Mitigating FPGA Interconnect ot Errors y In-Place LUT Inversion Naieng Jing1, Ju-Yueh Lee2, Weieng He1, Zhigang Mao1, Lei He2 1 2 chool o Microelectronics, hanghai Jiao Tong University, hanghai, hina* Electrical Engineering Department, University o aliornia, Los Angeles, UA Astract Modern RAM-ased FPGAs (Field Programmale Gate Arrays) use multipleer-ased unidirectional routing, and RAM coniguration cells in these multipleers contriute to the majority o sot errors in FPGAs. In this paper, we ormulate an In-Placed inversion (IPV) on LUT (Look-Up Tale) logic polarities to reduce the ot Error Rate () at chip level, and reveal a locality and NP-Hardness o the IPV prolem. We then develop an eact algorithm ased on the inary integer linear programming (ILP) and also a heuristic ased on the simulated annealing (A), oth enaled y the locality. We report results or the 10 largest MN cominational enchmarks synthesized y AB and then placed and routed y VPR. The results show that IPV otains close to chip level reduction on average and A is highly eective y otaining the same reduction as ILP does. A recent work IPD has the largest LUT level reduction o 2.7 in literature, ut its chip level reduction is merely 7% due to the dominance o interconnects. In contrast, A-ased IPV otains nearly chip level reduction and runs 30 aster. Furthermore, comining IPV and IPD leads to a chip level reduction o 5.3. This does not change placement and routing, and does not aect design closure. To the est o our knowledge, our work is the irst in-depth study on reduction or modern multipleer-ased FPGA routing y in-placed logic re-synthesis. Keywords - RAM-ased FPGA; ot Errors; ; Routing; Interconnect; Fault Mitigation; Logic Re-synthesis; Logic Polarity I. INTRODUTION Modern RAM-ased FPGAs use RAM cells to conigure logic and interconnects, numerically totaling up to 160 million in XilinVirte-6 [1]. These FPGAs suer rom single event upset (EU) induced sot errors, and their resilience against EU decreases with technology scaling. Thereore, reducing the sot error rate () or RAM-ased FPGAs has gained growing signiicance. lassic Triple Module Redundancy (TMR) employs circuit redundancy oth in LUT and interconnect ut with high overhead in area, power and perormance. Recent logic re-synthesis techniques, such as ROE [2], IPR [3], IPD [] and R2 [5] reduce in LUTs y leveraging dierent logic masking techniques. Targeting on low or no cost in area, power, perormance and design closure, they are preerred y non-mission-critical applications, e.g. networking and communications, which in act are the primary applications o FPGAs. However, these techniques do not eplicitly consider the interconnect and thus chip level reduction could e limited due to the interconnect dominance in FPGAs. The paper is organized as ollows. ection II introduces the ehavior and evaluation o the sot error on the unidirectional routing architecture. Net, we ormulate the IPV prolem in section III and present IPV properties and algorithms in section IV. ection V shows the eperimental results and section VI concludes this paper. II. PRELIMINARIE A. FPGA Architecture and Interconnect Fault An FPGA architecture is mainly deined y onigurale Logic Blocks (LBs) and routing architectures as illustrated in Fig. 1. Interconnects are critical ecause they contriute a large portion o total FPGA area and total coniguration its. In our concerned unidirectional routing architecture, oth the interlb routing (including connection oes and switch oes) and intra-lb routing employ directional MUXes to route signals. Each MUX is typically conigured y several encoded coniguration its (RAM its), which contriute to the majority o the RAM its in an FPGA. For eample, we oserve that interconnects contriute to nearly 80% o the RAM its or the 10 largest MN enchmarks when they are synthesized to the minimum FPGA dimensions y 6-input LUT [12]. As illustrated in Fig. 1, when one o the its lips its value due to a sot error on a MUX, an erroneous input signal (dotted) is then selected. I this signal rom the aulty MUX reaches the Modern FPGAs have shited to multipleer-ased (MUXased) unidirectional routing architecture [6][7], where the ault mechanism is dierent rom conventional idirectional * upported y National Hi-Tech Research and Development Program (863) o hina under Grant No.29AA This work was partially sponsored y isco and JPL /11/$ IEEE routing in the previous studies [8][9]. In this paper, considering MUX-ased unidirectional routing, we ormulate an In-Place inversion (IPV) o LUT logic polarities to reduce the interconnect, and reveal a locality and NP-Hardness o the IPV prolem. We then develop an eact algorithm ased on the inary Integer Linear Programming (ILP) and a heuristic algorithm ased on the imulated Annealing (A), oth enaled y the locality. We report results or the 10 largest MN enchmark circuits mapped y AB [10] and then placed and routed y VPR [11]. The results show that IPV can otain nearly reduction on chip level. In addition, the A approach is highly eective, otaining the same quality o results when compared to eact ILP solutions ut is much aster in runtime. In contrast to the IPD algorithm with highest 7% chip-level reduction among [2-5], A-ased IPV otains nearly reduction and runs 30 aster. Furthermore, comining IPV and IPD leads to 5.3 reductions at the chip level. This does not change placement and routing, and thus has no impact on design closure. To the est o our knowledge, this work is the irst in-depth study on reduction or modern MUX-ased FPGA routing y the in-placed logic re-synthesis. 582

2 1 a,+ m MUX m LB LB LB LB LB LB LB v(i) pin i v(j) pin j,+ a,+ 0 1,+ c,+ (0) = 0 (1) = 1 (010) = 0 (011) = 1 (1) = 0 (101) = 1 (110) = 1 (111) = 0 c,+ o,+ a,,+ + positive logic negative logic c, (0) = 1 (1) = 0 (010) = 1 (011) = 0 (1) = 1 (101) = 0 (110) = 0 (111) = 1 o, (0) = 1 (1) = 0 (010) = 0 (011) = 1 (1) = 1 (101) = 0 (110) = 1 (111) = 0 o,+ Figure 2. Atomic operations or LUT logic polarity inversion. Figure 1. FPGA unidirectional routing and multipler structure. m MUX m inputs on net i: B. Fault Rate Evaluation We evaluate the ailure rate or each RAM it under the single ault assumption1 y, which is deined as ollows. + inputs on net j: (+) (+) (+) Logic Inversion ( ) & mu osevaility: = DEFINITION 1: For a circuit with n primary inputs, the sot error rate o a RAM it, denoted as is the proaility o unctional ailures on the circuit due to the EU on it. Pr( ( ) ( ) EU ) k 1 primary outputs o the chip, a unctional ailure at the chip level occurs. Note that this ault mechanism is not a ridging ault as studied or idirectional routing in [8][9]. (k): [ ] /10 = 0.5 [] /10 = 0 Figure 3. LUT polarity inversion improves ault tolerance on interconnects. (1) where (0,1)n is the ehaustive set o input vectors and () is the circuit outputs under, and () is the circuit outputs when is lipped due to EU. The can e otained y ehausting 2n input vectors, which is a very time-consuming process. In practice, it can e approimated y a Monte arlo ased ault simulation o as many as K times, which can provide a good accuracy as studied in [1]. each input and the output o an LUT in FPGA (see one eample in Fig. 2). This technique is called logic polarity inversion and has een used to optimize timing [15] and power [16]. Here, we use an eample in Fig. 3 to show how logic polarity inversion helps to reduce on a single LUT. Given the oservaility or the MUX and the two values on pin i and pin j, one can see that the o k can e reduced rom 0.5 to 0 y inverting the logic polarity on net j. In general, the metric o applies to any circuit element as long as it is conigured y RAM its. For eample, we introduce R in (2), as the total routing to evaluate the sensitivity o unctional ailure to all the RAM its in routing elements denoted y R. We also quantiy the circuit ault rate y all the RAM its in various elements in circuit as in (3), which is reerred as chip level in this paper. B. Prolem Formulation Logic polarity inversion may lead to conlict among multiple LUTs. An eample is illustrated in Fig., where m1 may require LUT 2 as negative to locally mitigate the ault, while m2 may require LUT 2 to stay positive. To ind an optimal logic polarity assignment or all the LUTs and minimize R, we ormulate the In-Place inversion (IPV) prolem as ollows. R R (2) (3) III. FORMULATION 1 (In-Place inversion Prolem): Given a circuit, assign the logic polarity or each LUT, such that the or all multipleer-ased interconnects is minimized. We provide a ipartite graph representation in Fig.5 or a etter understanding o our IPV prolem, where each ottom node Li represents one o the n LUTs, and each top node k represents one o the m RAM its used in the routing MUXes in the placed and routed circuit. There is an edge etween Li and k i Li is either correctly or mistakenly y k at a MUX. PROBLEM FORMULATION A. Motivating Eample o Fault Masking As illustrated in Fig. 1, when MUX m has one o its RAM its lipped due to EU, the output is driven y net j instead o the desired net i. I j carries a dierent logic value v(j) rom v(i), a ault is injected onto the inputs o the immediate an-outs o m. However, i v(j) equals to v(i), no ault is injected even i EU happens. That is, the ault can e instantly masked at m. In addition, also depends on the oservaility don t care o MUX m, ov(m), which indicates i the ault can e masked y logic during its propagation to circuit outputs as in [3]. As a result, can e given y (v(i) v(j)) ov(m). Given the single ault assumption, each RAM it connects two LUT nodes, known as a pseudo an-in LUT pair, and are denoted L(k) and l(k). For the eample in Fig., L(k) = LUT 3 is the desired driving LUT and l(k) = LUT 1 is the selected driving LUT due to EU. Thereore in Fig. 5, each k has eact two incoming edges, ut the degree o each Li depends on the numer o MUXes it connects to. Each k is annotated with a it value that is associated with the polarities o its pseudo Note that logic polarity can e independently determined on 1 imultaneous multiple EUs seldom happen in commercial FPGAs as reported in [13]. 583

3 pseudo an-in LUT pair L()=LUT 3, l()=lut 1 LUT 1 LUT 2 LUT 3 m 1 m 2 in m 3 Figure. The pseudo an-in pair o a EU aected it m L 1,+ L 2,+ L 3,+ L n,+ Figure 5. IPV prolem representation y a ipartite graph LUT 5 LUT an-in LUT pair (as stated y Property 2 elow). Thus, our IPV prolem tries to reassign the polarity or each L i, so that R can e minimized or all k (k=1,, m). IV. A. Properties o IPV Prolem PROPERTIE AND ALGORITHM PROPERTY 1: Our IPV prolem is NP-Hard. ketch o PROOF: IPV prolem can e reduced rom the inary Ma-um (laeling) prolem which is known to e NP-Hard [17]. We skip the details o the proo due to the limited space. In IPV prolem, when one or multiple LUTs are selected to e inverted or ault masking, R should e updated accordingly ater each inversion. Intuitively, each update needs a new pass o circuit ault simulation that is highly time-consuming. In this paper, we reveal that R can e analytically updated y a pre-calculation o the it ault rates ased on property 2. PROPERTY 2 (Locality o Bit upon Polarity Inversion): Under the single ault assumption and or a given logic network, or a routing RAM it solely depends on the logic polarities o its pseudo an-in LUT pair, independent o the polarities o the other LUTs in the network. We also skip the proo due to the limited space here. B. Locality ased alculation Based on the locality property, the R with possile inversions can e calculated or at most compleity to R as in (). ) ) 01 ) ) () 10 ) ) 11 ) ) where the quadruplicate values o {, 01, 10, 11 } are called a quadruplet o it. Each quadruplet provides our error rates indicated y the two superscripted numers, indicating i one o its pseudo an-in LUTs is inverted or not. For areviation, we denote it as [P L(), P l() ], where P is a unction indicating the polarities o LUTs L() and l(), i.e. + or. Thus, the total routing R can e written as R [ P L( ), Pl ( ) ] R Eq. (5) reveals that R or a given circuit can e updated as an algeraic sum upon each RAM it y its quadruplet. To get their values, we currently use the ault simulation method that is within compleity o the R in (2). In this way, the iterative ault simulation ater each reassignment o LUT polarity can e avoided.. Binary ILP Based Algorithm In this section, we use a inary ILP ormulation to provide an insight on the capaility o IPV improvement. We take a set o inary variales i to denote whether a LUT i is inverted or not, i.e., a positive LUT has its i as 0. A inary inverting quadruplet {, 01, 10, 11 } is used to denote the polarities o the pseudo an-in LUTs or each routing it. As a result, the inary ILP ormulation or our IPV prolem is given y min s.t. R (5) ij (6) R 1 s 1 t ij ij, 01,10, s where s =L(), t =l(). This set o constraints models the act that eactly one value in the quadruplet { ij } should e selected or each it using ij as a mask. Other constraints on 01, 10 and 11 can e similarly written as in (7). By orcing the corresponding i values to e 0 in the constraints, our ILP ormulation also applies to the situation where some LUT input or output polarities are not invertile D. imulated Annealing Based Algorithm We also propose a imulated Annealing (A) ased algorithm to solve IPV eiciently while providing good quality o routing reduction compared to ILP. The A ased algorithm starts rom the initial circuit with positive logic polarities or all the LUTs. Then, it switches to another LUT polarity assignment y inverting a random LUT polarity at each move. The ojective unction o the new assignment is evaluated y (5). New assignment with a etter cost is always accepted while the worse assignment is accepted conditionally ased on the acceptance proaility. The annealing starts rom a temperature o 0.8, and is updated y a decreasing actor o 1.3. It continues till the minimum temperature o 2.0e-6 is reached. E. Overall Algorithm Flow As illustrated in Fig. 6, our IPV algorithm mitigating EU ault on FPGA interconnects y LUT logic polarity inversion consists o three phases. tarting rom the given netlist o a circuit, it irst applies logic optimization and technology mapping. The mapped circuit is packed into logic locks, and then placed and routed y physical design tools. econdly, in order to otain the it values, we develop an EU ault analysis ramework which starts right ater P&R. It perorms logic simulation ased on post-layout circuit inormation to calculate t (7) 58

4 Atomic logic inversion ircuit Logic Logic Optimization & Technology Mapping ynthesis and Placement & Routing Architecture File EU Fault Analysis on FPGA interconnects Polarity inverted LUTs Re-synthesis low or the in-place inversion prolem riticality quadruplet ILP model & solver imulated Annealing Figure 6. The overall low o our IPV algorithm the ault rate or each RAM it in routing MUXes in the orm o quadruplet. This is the asis or oth the inary ILP and the A-ased approaches. Finally, we start the ILP solver and the A-ased approach to seek the optimal reassignment o the polarities or all the LUTs. The result with maimum interconnect ault rate reduction is then selected and ack-annotated to the initial circuit y the atomic logic inversion operations to inish our proposed re-synthesis low. V. EXPERIMENTAL REULT A. Eperimental ettings In this section, we report the eperiments or the 10 largest MN cominational circuits as in []. We used parameterized architecture in VPR [11] to characterize dierent FPGA architectures. Firstly, we perorm logic optimization and technology mapping onto and 6-input LUTs y Berkeley AB [10]. Each mapped circuit is packed y two dierent LB architectural settings respectively, i.e. -input LUT with cluster size and 6- input LUT with cluster o 8. Then, VPR was used to implement a minimum dimension FPGA array without incurring etra unused RAM its that eceed the actual need o the circuit. We applied a Monte arlo ased ault simulation to generate the it quadruplets. Note that our IPV algorithm applies to either cominational or sequential circuits to mitigate interconnect EU aults as long as the it quadruplets are availale. We used Mosek as our ILP solver to seek the gloally optimal assignment o the LUT polarities. B. omparision etween the ILP and A appraoches Tale I irst presents size statistics o the enchmark circuits. Net, it compares ault rate reductions in terms o ratios eore and ater applying IPV y ILP and A approaches or all routing MUXes. From the tale, one can see that oth ILP and A approaches signiicantly reduce. For eample, or a -input LUT with cluster size, the interconnect is reduced y 1.2 to 17.2 with an average around 6. For a 6- input LUT with cluster size 8, the is reduced aout 5. on average. In addition, y considering the RAM it percentage, the can e reduced y 3.97 and 3.67 on average at the chip level or the -input and 6-input LUTs, respectively. The reduction or 6-input LUT and 8 LUTs per cluster is slightly smaller, ecause larger LUT and cluster sizes have ewer interconnects and ewer MUXes that limit the room or improvement. While it is natural or dierent circuits to otain dierent improvements, des has the lowest and much smaller reduction. This is ecause the quadruplicate values are high and close to each other in des, which limits the design reedom that can e leveraged y IPV. Tale I also reports runtimes. The runtime ecludes the ault simulation time or quadruplets, which is relatively small compared to the runtime consumed y ILP. From the tale, one can see that ILP is ale to solve most o the circuits optimally while A can otain the same reductions as ILP ut runs almost 1 aster. For the other circuits as marked, where a timeout o 10 hours is applied to the ILP solver like in des, A otains slightly higher reductions. These results show that the A approach is highly eective and eicient or IPV prolem. It is worthwhile to point out that circuits like des are not the largest circuits in eperiments, so the eiciency o ILP depends on oth circuit size and structure. When ILP and A otain the same reductions, LUT inversions in their solutions are oten not the same and ILP inverts ewer LUTs in general. This implies that there are multiple optimal solutions rom the point o view o reduction. TABLE I. INTERONNET AND HIP LEVEL REDUTION FOR 10 BENHMARK IRUIT LUT size k=, luster size N= LUT size k=6, luster size N=8 ircuit Int. Int. hip Int. Int. hip #LUT Reduce RAM #LUT Reduce RAM ILP A it% Reduce ILP A ILP A it% Reduce ILP A e5p * 25.6 alu * * 27.2 mise ape ape seq e des * * 3.5 spla pdc Avg

5 TABLE II. HIP LEVEL REDUTION OMPARED TO PREVIOU WORK IPV IPD IPD+IPV ircuit Reduce Reduce hip Int. hip LUT hip Reduce IPV IPD e5p alu mise ape ape seq e des spla pdc Avg hip Level Reduction ompared to Previous Work Because A is eective and eicient, A is used or IPV in the rest o this paper. Tale II reports chip level reductions, y comparing IPV with a previous IPD algorithm [] on mapped 6-input LUTs. Although IPD signiicantly reduces the ault rate on LUTs (around 5), its chip level reduction is merely 7%, ecause it does not consider interconnects eplicitly. Thereore, uture mitigation algorithms should e developed with eplicit consideration o interconnects. In Tale II, we also evaluated the comined algorithm o IPD+IPV on 6-input LUTs, where the IPV is applied ater IPD. Because IPD is perormed within each LUT, it is orthogonal to IPV. From the tale, we see that the comination o these two algorithms reduces chip level y 5.3 on average. With much reduced interconnect y IPV, the reduction y IPD or LUTs (or in general, y algorithms rom [2-5]) gains more signiicance. Finally, Tale II compares runtimes or IPV and IPD and shows that IPV runs 30 aster on average. VI. ONLUION AND FUTURE WORK By targeting on routing multipleers that are the dominant routing elements in the modern unidirectional FPGA routing architecture, we have identiied a new ault masking mechanism and ormulated an IPV prolem or In-Place inversion o LUT logic polarities to maimize ault masking or all interconnect multipleers. We have shown that the prolem is NP- Hard and also revealed a locality or IPV. Due to the locality, we have developed two algorithms ased on ILP and A approaches. Eperiments have shown that IPV otains nearly reduction on average or the chip level. In addition, A is eective and eicient ecause it otains the same ault reductions as ILP, i not etter, ut runs almost 1 aster. Note that IPV does not change placement and routing, thereore it is an in-place optimization. It should have little or no impact on design closure. This will e veriied in the uture. IPV has otained close to reduction on average or the chip level. The est eisting in-place algorithm is IPD [], which has merely aout 7% chip-level reduction ut runs 30 slower. However, comining IPV and IPD leads to a chiplevel reduction o 5.3 on average. This is ecause IPV and IPD target at interconnects and LUTs respectively and they are complementary to each other. While the proposed IPV algorithms and implementations apply to oth cominational and sequential circuits, we have not yet developed calculation or sequential eedacks. This will e the ocus o our uture work. Furthermore, the interaction etween IPV and those techniques rom literatures such as [2-5] will e studied or urther mitigation. REFERENE [1] Xilin, Virte-6 Family Overview. Jan [2] Yu Hu, Zhe Feng, Lei He, and Rupak Majumdar, Roust FPGA resynthesis ased on ault-tolerant Boolean matching, Proceedings o International onerence on omputer-aided Design, 28, pp [3] Zhe Feng, Yu Hu, Lei He, and Rupak Majumdar, IPR: in-place reconiguration or FPGA ault tolerance, Proceedings o the International onerence on omputer-aided Design, 29, pp [] Ju-Yueh Lee, Zhe Feng, and Lei He, In-Place Decomposition or Roustness in FPGA, Proceedings o the International onerence on omputer-aided Design, 2010, pp [5] Manu Jose, Yu Hu, Rupak Majumdar, and Lei He, Rewiring or roustness, Proceedings o Design Automation onerence, 2010, pp [6] G. Lemieu, E. Lee, M. Tom, and A. Yu, Directional and single-driver wires in FPGA interconnect, Proceedings o the International onerence on Field Programmale Technology, 2, pp [7] mith A.M., onstantinides G.A., Wilton., and heung P., oncurrently optimizing FPGA architecture parameters and transistor sizing: Implications or FPGA design, Proceedings o the International onerence on Field Programmale Technology, 29, pp [8]. Golshan and E. Bozorgzadeh, ingle-event-upset (EU) awareness in FPGA routing, Proceedings o the Design Automation onerence, 27, pp [9] E... Reddy, V. handrasekhar, and M. ashikanth et al, Detecting EU-caused routing errors in RAM-ased FPGAs, Proceedings o the International onerence on VLI Design, 25, pp [10] AB: A system or sequential synthesis and veriication. Berkeley Logic ynthesis and Veriication Group. [11] J. Luu, I. Kuon and P. Jamieson et al., VPR 5.0: FPGA cad and architecture eploration tools with single-driver routing, heterogeneity and process scaling, Proceeding o the International ymposium on Field Programmale Gate Arrays, 29, pp [12] Naieng Jing, Ju-Yuen Lee and Zhe Feng et al., Quantitative EU ault evaluation or RAM-ased FPGA architectures and synthesis algorithms, Proceedings o the International onerence on Field Programmale Logic and Applications, [13] Ken hapman, EU trategies or Virte-5 Devices, Xilin, 29. [1] amuel Luckenill, Ju-Yueh Lee, Yu Hu, Rupak Majumdar, and Lei He, RALF: reliaility analysis or logic aults: an eact algorithm and its applications, Proceedings o the onerence on Design, Automation and Test in Europe, 2010, pp [15] Kai Zhu, Post-route LUT output polarity selection or timing optimization, Proceedings o the International symposium on Field Programmale Gate Arrays, 27, pp [16] J. H. Anderson, F. N. Najm, and T. Tuan, Active leakage power optimization or FPGAs, Proceedings o the International ymposium on Field Programmale Gate Arrays, 2, pp [17] Tomas Werner, A Linear Programming Approach to Ma-um Prolem: A Review, IEEE Transactions on Pattern Analysis and Machine Intelligence, vol. 29, pp , Jul

Retiming Sequential Circuits for Low Power

Retiming Sequential Circuits for Low Power Retiming Sequential Circuits for Low Power José Monteiro, Srinivas Devadas Department of EECS MIT, Cambridge, MA Abhijit Ghosh Mitsubishi Electric Research Laboratories Sunnyvale, CA Abstract Switching

More information

Exploring Architecture Parameters for Dual-Output LUT based FPGAs

Exploring Architecture Parameters for Dual-Output LUT based FPGAs Exploring Architecture Parameters for Dual-Output LUT based FPGAs Zhenghong Jiang, Colin Yu Lin, Liqun Yang, Fei Wang and Haigang Yang System on Programmable Chip Research Department, Institute of Electronics,

More information

Optimizing area of local routing network by reconfiguring look up tables (LUTs)

Optimizing area of local routing network by reconfiguring look up tables (LUTs) Vol.2, Issue.3, May-June 2012 pp-816-823 ISSN: 2249-6645 Optimizing area of local routing network by reconfiguring look up tables (LUTs) Sathyabhama.B 1 and S.Sudha 2 1 M.E-VLSI Design 2 Dept of ECE Easwari

More information

FPGA Glitch Power Analysis and Reduction

FPGA Glitch Power Analysis and Reduction FPGA Glitch Power Analysis and Reduction Warren Shum and Jason H. Anderson Department of Electrical and Computer Engineering, University of Toronto Toronto, ON. Canada {shumwarr, janders}@eecg.toronto.edu

More information

Investigation of Look-Up Table Based FPGAs Using Various IDCT Architectures

Investigation of Look-Up Table Based FPGAs Using Various IDCT Architectures Investigation of Look-Up Table Based FPGAs Using Various IDCT Architectures Jörn Gause Abstract This paper presents an investigation of Look-Up Table (LUT) based Field Programmable Gate Arrays (FPGAs)

More information

FPGA Power Reduction by Guarded Evaluation Considering Logic Architecture

FPGA Power Reduction by Guarded Evaluation Considering Logic Architecture IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS 1 FPGA Power Reduction by Guarded Evaluation Considering Logic Architecture Chirag Ravishankar, Student Member, IEEE, Jason

More information

An MFA Binary Counter for Low Power Application

An MFA Binary Counter for Low Power Application Volume 118 No. 20 2018, 4947-4954 ISSN: 1314-3395 (on-line version) url: http://www.ijpam.eu ijpam.eu An MFA Binary Counter for Low Power Application Sneha P Department of ECE PSNA CET, Dindigul, India

More information

CAD Tool Flow for Variation-Tolerant Non-Volatile STT-MRAM LUT based FPGA

CAD Tool Flow for Variation-Tolerant Non-Volatile STT-MRAM LUT based FPGA CAD Tool Flow for Variation-Tolerant Non-Volatile STT-MRAM LUT based FPGA Jeongbin Kim +822-2123-7826 xtankx123@yonsei.ac.kr Ki Tae Kim +822-2123-7826 ktkim1116@yonsei.ac.kr Eui-Young Chung +822-2123-5866

More information

Raising FPGA Logic Density Through Synthesis-Inspired Architecture

Raising FPGA Logic Density Through Synthesis-Inspired Architecture 1 Raising FPGA Logic Density Through ynthesis-inspired Architecture Jason H. Anderson, Member, IEEE, Qiang Wang, Member, IEEE, and Chirag Ravishankar, tudent Member, IEEE Abstract We leverage properties

More information

On the Sensitivity of FPGA Architectural Conclusions to Experimental Assumptions, Tools, and Techniques

On the Sensitivity of FPGA Architectural Conclusions to Experimental Assumptions, Tools, and Techniques On the Sensitivity of FPGA Architectural Conclusions to Experimental Assumptions, Tools, and Techniques Andy Yan, Rebecca Cheng, Steven J.E. Wilton Department of Electrical and Computer Engineering University

More information

Glitch Reduction and CAD Algorithm Noise in FPGAs. Warren Shum

Glitch Reduction and CAD Algorithm Noise in FPGAs. Warren Shum Glitch Reduction and CAD Algorithm Noise in FPGAs by Warren Shum A thesis submitted in conformity with the requirements for the degree of Master of Applied Science Graduate Department of Electrical and

More information

Improving FPGA Performance with a S44 LUT Structure

Improving FPGA Performance with a S44 LUT Structure Improving FPGA Performance with a S44 LUT Structure Wenyi Feng, Jonathan Greene Microsemi Corporation SOC Products Group, San Jose {wenyi.feng, jonathan.greene}@microsemi.com ABSTRACT FPGA performance

More information

On Reducing Both Shift and Capture Power for Scan-Based Testing

On Reducing Both Shift and Capture Power for Scan-Based Testing On Reducing Both Shift and apture Power for Scan-Based Testing Jia LI,2, Qiang U 3,4, Yu HU, iaowei LI * Key Laboratory of omputer System and Architecture IT, hinese Academy of Sciences Beijing, 8; 2 Graduate

More information

Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA

Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA M.V.M.Lahari 1, M.Mani Kumari 2 1,2 Department of ECE, GVPCEOW,Visakhapatnam. Abstract The increasing growth of sub-micron

More information

Peak Dynamic Power Estimation of FPGA-mapped Digital Designs

Peak Dynamic Power Estimation of FPGA-mapped Digital Designs Peak Dynamic Power Estimation of FPGA-mapped Digital Designs Abstract The Peak Dynamic Power Estimation (P DP E) problem involves finding input vector pairs that cause maximum power dissipation (maximum

More information

Modifying the Scan Chains in Sequential Circuit to Reduce Leakage Current

Modifying the Scan Chains in Sequential Circuit to Reduce Leakage Current IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 3, Issue 1 (Sep. Oct. 2013), PP 01-09 e-issn: 2319 4200, p-issn No. : 2319 4197 Modifying the Scan Chains in Sequential Circuit to Reduce Leakage

More information

Minimizing Leakage of Sequential Circuits through Flip-Flop Skewing and Technology Mapping

Minimizing Leakage of Sequential Circuits through Flip-Flop Skewing and Technology Mapping JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.7, NO.4, DECEMER, 2007 215 Minimizing Leakage of Sequential Circuits through Flip-Flop Skewing and Technology Mapping Sewan Heo and Youngsoo Shin Abstract

More information

REDUCING DYNAMIC POWER BY PULSED LATCH AND MULTIPLE PULSE GENERATOR IN CLOCKTREE

REDUCING DYNAMIC POWER BY PULSED LATCH AND MULTIPLE PULSE GENERATOR IN CLOCKTREE Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 3, Issue. 5, May 2014, pg.210

More information

140 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 12, NO. 2, FEBRUARY 2004

140 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 12, NO. 2, FEBRUARY 2004 140 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 12, NO. 2, FEBRUARY 2004 Leakage Current Reduction in CMOS VLSI Circuits by Input Vector Control Afshin Abdollahi, Farzan Fallah,

More information

Dual-V DD and Input Reordering for Reduced Delay and Subthreshold Leakage in Pass Transistor Logic

Dual-V DD and Input Reordering for Reduced Delay and Subthreshold Leakage in Pass Transistor Logic Dual-V DD and Input Reordering for Reduced Delay and Subthreshold Leakage in Pass Transistor Logic Jeff Brantley and Sam Ridenour ECE 6332 Fall 21 University of Virginia @virginia.edu ABSTRACT

More information

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath Objectives Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath In the previous chapters we have studied how to develop a specification from a given application, and

More information

Leakage Current Reduction in Sequential Circuits by Modifying the Scan Chains

Leakage Current Reduction in Sequential Circuits by Modifying the Scan Chains eakage Current Reduction in Sequential s by Modifying the Scan Chains Afshin Abdollahi University of Southern California (3) 592-3886 afshin@usc.edu Farzan Fallah Fujitsu aboratories of America (48) 53-4544

More information

University College of Engineering, JNTUK, Kakinada, India Member of Technical Staff, Seerakademi, Hyderabad

University College of Engineering, JNTUK, Kakinada, India Member of Technical Staff, Seerakademi, Hyderabad Power Analysis of Sequential Circuits Using Multi- Bit Flip Flops Yarramsetti Ramya Lakshmi 1, Dr. I. Santi Prabha 2, R.Niranjan 3 1 M.Tech, 2 Professor, Dept. of E.C.E. University College of Engineering,

More information

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September-2014 917 The Power Optimization of Linear Feedback Shift Register Using Fault Coverage Circuits K.YARRAYYA1, K CHITAMBARA

More information

COPY RIGHT. To Secure Your Paper As Per UGC Guidelines We Are Providing A Electronic Bar Code

COPY RIGHT. To Secure Your Paper As Per UGC Guidelines We Are Providing A Electronic Bar Code COPY RIGHT 2018IJIEMR.Personal use of this material is permitted. Permission from IJIEMR must be obtained for all other uses, in any current or future media, including reprinting/republishing this material

More information

Automatic Transistor-Level Design and Layout Placement of FPGA Logic and Routing from an Architectural Specification

Automatic Transistor-Level Design and Layout Placement of FPGA Logic and Routing from an Architectural Specification Automatic Transistor-Level Design and Layout Placement of FPGA Logic and Routing from an Architectural Specification by Ketan Padalia Supervisor: Jonathan Rose April 2001 Automatic Transistor-Level Design

More information

288 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 12, NO. 3, MARCH 2004

288 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 12, NO. 3, MARCH 2004 288 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 12, NO. 3, MARCH 2004 The Effect of LUT and Cluster Size on Deep-Submicron FPGA Performance and Density Elias Ahmed and Jonathan

More information

OPTIMALITY AND STABILITY STUDY OF TIMING-DRIVEN PLACEMENT ALGORITHMS. Jason Cong, Michail Romesis, Min Xie

OPTIMALITY AND STABILITY STUDY OF TIMING-DRIVEN PLACEMENT ALGORITHMS. Jason Cong, Michail Romesis, Min Xie OPTIMALITY AND STABILITY STUDY OF TIMING-DRIVEN PLAEMENT ALGORITHMS Jason ong, Michail Romesis, Min Xie omputer Science Department University of alifornia, Los Angeles cong,michail,xie @cs.ucla.edu ABSTRAT

More information

Figure.1 Clock signal II. SYSTEM ANALYSIS

Figure.1 Clock signal II. SYSTEM ANALYSIS International Journal of Advances in Engineering, 2015, 1(4), 518-522 ISSN: 2394-9260 (printed version); ISSN: 2394-9279 (online version); url:http://www.ijae.in RESEARCH ARTICLE Multi bit Flip-Flop Grouping

More information

Design for Testability

Design for Testability TDTS 01 Lecture 9 Design for Testability Zebo Peng Embedded Systems Laboratory IDA, Linköping University Lecture 9 The test problems Fault modeling Design for testability techniques Zebo Peng, IDA, LiTH

More information

International Journal of Emerging Technologies in Computational and Applied Sciences (IJETCAS)

International Journal of Emerging Technologies in Computational and Applied Sciences (IJETCAS) International Association of Scientific Innovation and Research (IASIR) (An Association Unifying the Sciences, Engineering, and Applied Research) International Journal of Emerging Technologies in Computational

More information

Post-Routing Layer Assignment for Double Patterning

Post-Routing Layer Assignment for Double Patterning Post-Routing Layer Assignment for Double Patterning Jian Sun 1, Yinghai Lu 2, Hai Zhou 1,2 and Xuan Zeng 1 1 Micro-Electronics Dept. Fudan University, China 2 Electrical Engineering and Computer Science

More information

Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Lecture No. # 29 Minimizing Switched Capacitance-III. (Refer

More information

The Stratix II Logic and Routing Architecture

The Stratix II Logic and Routing Architecture The Stratix II Logic and Routing Architecture David Lewis*, Elias Ahmed*, Gregg Baeckler, Vaughn Betz*, Mark Bourgeault*, David Cashman*, David Galloway*, Mike Hutton, Chris Lane, Andy Lee, Paul Leventis*,

More information

Fine-grain Leakage Optimization in SRAM based FPGAs

Fine-grain Leakage Optimization in SRAM based FPGAs Fine-grain Leakage Optimization in based FPGAs Abstract FPGAs are evolving at a rapid pace with improved performance and logic density. At the same time, trends in technology scaling makes leakage power

More information

Distributed Arithmetic Unit Design for Fir Filter

Distributed Arithmetic Unit Design for Fir Filter Distributed Arithmetic Unit Design for Fir Filter ABSTRACT: In this paper different distributed Arithmetic (DA) architectures are proposed for Finite Impulse Response (FIR) filter. FIR filter is the main

More information

Bus-Switch Coding, for Dynamic Power Management in off-chip communication channels.

Bus-Switch Coding, for Dynamic Power Management in off-chip communication channels. in off-chip communication channels. Mauro Olivieri *, Francesco Pappalardo ** and Giuseppe Visalli **. * Department of Electronic Engineering, University of La Sapienza Rome, Italy ** Advanced System Technology,

More information

Design of Fault Coverage Test Pattern Generator Using LFSR

Design of Fault Coverage Test Pattern Generator Using LFSR Design of Fault Coverage Test Pattern Generator Using LFSR B.Saritha M.Tech Student, Department of ECE, Dhruva Institue of Engineering & Technology. Abstract: A new fault coverage test pattern generator

More information

LUT OPTIMIZATION USING COMBINED APC-OMS TECHNIQUE

LUT OPTIMIZATION USING COMBINED APC-OMS TECHNIQUE LUT OPTIMIZATION USING COMBINED APC-OMS TECHNIQUE S.Basi Reddy* 1, K.Sreenivasa Rao 2 1 M.Tech Student, VLSI System Design, Annamacharya Institute of Technology & Sciences (Autonomous), Rajampet (A.P),

More information

A Reconfigurable, Power-Efficient Adaptive Viterbi Decoder

A Reconfigurable, Power-Efficient Adaptive Viterbi Decoder 1 A Reconfigurale, Power-Efficient Adaptive Viteri Decoder Russell Tessier, Sriram Swaminathan, Ramaswamy Ramaswamy, Dennis Goeckel and Wayne Burleson Astract Error-correcting convolutional codes provide

More information

Further Details Contact: A. Vinay , , #301, 303 & 304,3rdFloor, AVR Buildings, Opp to SV Music College, Balaji

Further Details Contact: A. Vinay , , #301, 303 & 304,3rdFloor, AVR Buildings, Opp to SV Music College, Balaji S.NO 2018-2019 B.TECH VLSI IEEE TITLES TITLES FRONTEND 1. Approximate Quaternary Addition with the Fast Carry Chains of FPGAs 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. 16. 17. 18. 19. A Low-Power

More information

Optimization of Multi-Channel BCH Error Decoding for Common Cases. Russell Dill Master's Thesis Defense April 20, 2015

Optimization of Multi-Channel BCH Error Decoding for Common Cases. Russell Dill Master's Thesis Defense April 20, 2015 Optimization of Multi-Channel BCH Error Decoding for Common Cases Russell Dill Master's Thesis Defense April 20, 2015 Bose-Chaudhuri-Hocquenghem (BCH) BCH is an Error Correcting Code (ECC) and is used

More information

EN2911X: Reconfigurable Computing Topic 01: Programmable Logic. Prof. Sherief Reda School of Engineering, Brown University Fall 2014

EN2911X: Reconfigurable Computing Topic 01: Programmable Logic. Prof. Sherief Reda School of Engineering, Brown University Fall 2014 EN2911X: Reconfigurable Computing Topic 01: Programmable Logic Prof. Sherief Reda School of Engineering, Brown University Fall 2014 1 Contents 1. Architecture of modern FPGAs Programmable interconnect

More information

Latch-Based Performance Optimization for FPGAs. Xiao Teng

Latch-Based Performance Optimization for FPGAs. Xiao Teng Latch-Based Performance Optimization for FPGAs by Xiao Teng A thesis submitted in conformity with the requirements for the degree of Master of Applied Science Graduate Department of ECE University of Toronto

More information

Implementation of BIST Test Generation Scheme based on Single and Programmable Twisted Ring Counters

Implementation of BIST Test Generation Scheme based on Single and Programmable Twisted Ring Counters IOSR Journal of Mechanical and Civil Engineering (IOSR-JMCE) e-issn: 2278-1684, p-issn: 2320-334X Implementation of BIST Test Generation Scheme based on Single and Programmable Twisted Ring Counters N.Dilip

More information

Sharif University of Technology. SoC: Introduction

Sharif University of Technology. SoC: Introduction SoC Design Lecture 1: Introduction Shaahin Hessabi Department of Computer Engineering System-on-Chip System: a set of related parts that act as a whole to achieve a given goal. A system is a set of interacting

More information

Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow

Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow Bradley R. Quinton*, Mark R. Greenstreet, Steven J.E. Wilton*, *Dept. of Electrical and Computer Engineering, Dept.

More information

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL Random Access Scan Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL ramamve@auburn.edu Term Paper for ELEC 7250 (Spring 2005) Abstract: Random Access

More information

A New Low Energy BIST Using A Statistical Code

A New Low Energy BIST Using A Statistical Code A New Low Energy BIST Using A Statistical Code Sunghoon Chun, Taejin Kim and Sungho Kang Department of Electrical and Electronic Engineering Yonsei University 134 Shinchon-dong Seodaemoon-gu, Seoul, Korea

More information

TKK S ASIC-PIIRIEN SUUNNITTELU

TKK S ASIC-PIIRIEN SUUNNITTELU Design TKK S-88.134 ASIC-PIIRIEN SUUNNITTELU Design Flow 3.2.2005 RTL Design 10.2.2005 Implementation 7.4.2005 Contents 1. Terminology 2. RTL to Parts flow 3. Logic synthesis 4. Static Timing Analysis

More information

Design for Test. Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective.

Design for Test. Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective. Design for Test Definition: Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective. Types: Design for Testability Enhanced access Built-In

More information

GlitchLess: An Active Glitch Minimization Technique for FPGAs

GlitchLess: An Active Glitch Minimization Technique for FPGAs GlitchLess: An Active Glitch Minimization Technique for FPGAs Julien Lamoureux, Guy G. Lemieux, Steven J.E. Wilton Department of Electrical and Computer Engineering University of British Columbia Vancouver,

More information

Optimization of memory based multiplication for LUT

Optimization of memory based multiplication for LUT Optimization of memory based multiplication for LUT V. Hari Krishna *, N.C Pant ** * Guru Nanak Institute of Technology, E.C.E Dept., Hyderabad, India ** Guru Nanak Institute of Technology, Prof & Head,

More information

Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky,

Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky, Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky, tomott}@berkeley.edu Abstract With the reduction of feature sizes, more sources

More information

128 BIT CARRY SELECT ADDER USING BINARY TO EXCESS-ONE CONVERTER FOR DELAY REDUCTION AND AREA EFFICIENCY

128 BIT CARRY SELECT ADDER USING BINARY TO EXCESS-ONE CONVERTER FOR DELAY REDUCTION AND AREA EFFICIENCY 128 BIT CARRY SELECT ADDER USING BINARY TO EXCESS-ONE CONVERTER FOR DELAY REDUCTION AND AREA EFFICIENCY 1 Mrs.K.K. Varalaxmi, M.Tech, Assoc. Professor, ECE Department, 1varuhello@Gmail.Com 2 Shaik Shamshad

More information

nmos transistor Basics of VLSI Design and Test Solution: CMOS pmos transistor CMOS Inverter First-Order DC Analysis CMOS Inverter: Transient Response

nmos transistor Basics of VLSI Design and Test Solution: CMOS pmos transistor CMOS Inverter First-Order DC Analysis CMOS Inverter: Transient Response nmos transistor asics of VLSI Design and Test If the gate is high, the switch is on If the gate is low, the switch is off Mohammad Tehranipoor Drain ECE495/695: Introduction to Hardware Security & Trust

More information

Area and Speed Efficient Implementation of Symmetric FIR Digital Filter through Reduced Parallel LUT Decomposed DA Approach

Area and Speed Efficient Implementation of Symmetric FIR Digital Filter through Reduced Parallel LUT Decomposed DA Approach Circuits and Systems, 216, 7, 1379-1391 Pulished Online June 216 in SciRes. http://www.scirp.org/journal/cs http://dx.doi.org/1.4236/cs.216.78121 Area and Speed Efficient Implementation of Symmetric FIR

More information

EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP. Due İLKER KALYONCU, 10043

EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP. Due İLKER KALYONCU, 10043 EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP Due 16.05. İLKER KALYONCU, 10043 1. INTRODUCTION: In this project we are going to design a CMOS positive edge triggered master-slave

More information

Soft Computing Approach To Automatic Test Pattern Generation For Sequential Vlsi Circuit

Soft Computing Approach To Automatic Test Pattern Generation For Sequential Vlsi Circuit Soft Computing Approach To Automatic Test Pattern Generation For Sequential Vlsi Circuit Monalisa Mohanty 1, S.N.Patanaik 2 1 Lecturer,DRIEMS,Cuttack, 2 Prof.,HOD,ENTC, DRIEMS,Cuttack 1 mohanty_monalisa@yahoo.co.in,

More information

data and is used in digital networks and storage devices. CRC s are easy to implement in binary

data and is used in digital networks and storage devices. CRC s are easy to implement in binary Introduction Cyclic redundancy check (CRC) is an error detecting code designed to detect changes in transmitted data and is used in digital networks and storage devices. CRC s are easy to implement in

More information

FPGA Power Reduction by Guarded Evaluation

FPGA Power Reduction by Guarded Evaluation FPGA Power Reduction by Evaluation Jason H. Anderson Dept. of Electrical and Computer Engineering University of Toronto janders@eecg.toronto.edu Chirag Ravishankar Dept. of Electrical and Computer Engineering

More information

CAE, May London Exposure Rating and ILF. Stefan Bernegger, Dr. sc. nat., SAV Head Analytical Services & Tools Swiss Reinsurance Company Ltd

CAE, May London Exposure Rating and ILF. Stefan Bernegger, Dr. sc. nat., SAV Head Analytical Services & Tools Swiss Reinsurance Company Ltd CAE, May 5 London Eposure Rating and ILF Stean Bernegger, Dr. sc. nat., SAV ead Analytical Services & Tools Swiss Reinsurance Company Ltd Tale o Contents / Agenda Introduction ISO Curves or US Casualty

More information

Techniques for Yield Enhancement of VLSI Adders 1

Techniques for Yield Enhancement of VLSI Adders 1 Techniques for Yield Enhancement of VLSI Adders 1 Zhan Chen and Israel Koren Department of Electrical and Computer Engineering University of Massachusetts, Amherst, MA 01003, USA Abstract For VLSI application-specific

More information

Single Event Upset Hardening by 'hijacking' the multi-vt flow during synthesis

Single Event Upset Hardening by 'hijacking' the multi-vt flow during synthesis Single Event Upset Hardening by 'hijacking' the multi-vt flow during synthesis Roland Weigand February 04, 2013 Design Automation Conference User Track European Space Agency Microelectronics Section Author

More information

MVP: Capture-Power Reduction with Minimum-Violations Partitioning for Delay Testing

MVP: Capture-Power Reduction with Minimum-Violations Partitioning for Delay Testing MVP: Capture-Power Reduction with Minimum-Violations Partitioning for Delay Testing Zhen Chen 1, Krishnendu Chakrabarty 2, Dong Xiang 3 1 Department of Computer Science and Technology, 3 School of Software

More information

A Fast Constant Coefficient Multiplier for the XC6200

A Fast Constant Coefficient Multiplier for the XC6200 A Fast Constant Coefficient Multiplier for the XC6200 Tom Kean, Bernie New and Bob Slous Xilinx Inc. Abstract. We discuss the design of a high performance constant coefficient multiplier on the Xilinx

More information

ATPG For Scan Chain Latches and Flip-Flops

ATPG For Scan Chain Latches and Flip-Flops APG For can hain Latches and Flops amy R. Makar* and Edward J. Mcluskey enter for Reliable omputing Gates Hall 2A tanford University tanford, A 94305 Abstract A new approach for testing the bistable elements

More information

An Efficient High Speed Wallace Tree Multiplier

An Efficient High Speed Wallace Tree Multiplier Chepuri satish,panem charan Arur,G.Kishore Kumar and G.Mamatha 38 An Efficient High Speed Wallace Tree Multiplier Chepuri satish, Panem charan Arur, G.Kishore Kumar and G.Mamatha Abstract: The Wallace

More information

Designing Filters with the AD6620 Greensboro, NC

Designing Filters with the AD6620 Greensboro, NC Designing Filters with the AD66 Greensboro, NC Abstract: This paper introduces the basics o designing digital ilters or the AD66. This article assumes a basic knowledge o ilters and their construction

More information

Design of Polar List Decoder using 2-Bit SC Decoding Algorithm V Priya 1 M Parimaladevi 2

Design of Polar List Decoder using 2-Bit SC Decoding Algorithm V Priya 1 M Parimaladevi 2 IJSRD - International Journal for Scientific Research & Development Vol. 3, Issue 03, 2015 ISSN (online): 2321-0613 V Priya 1 M Parimaladevi 2 1 Master of Engineering 2 Assistant Professor 1,2 Department

More information

International Journal of Engineering Trends and Technology (IJETT) - Volume4 Issue8- August 2013

International Journal of Engineering Trends and Technology (IJETT) - Volume4 Issue8- August 2013 International Journal of Engineering Trends and Technology (IJETT) - Volume4 Issue8- August 2013 Design and Implementation of an Enhanced LUT System in Security Based Computation dama.dhanalakshmi 1, K.Annapurna

More information

VLSI System Testing. BIST Motivation

VLSI System Testing. BIST Motivation ECE 538 VLSI System Testing Krish Chakrabarty Built-In Self-Test (BIST): ECE 538 Krish Chakrabarty BIST Motivation Useful for field test and diagnosis (less expensive than a local automatic test equipment)

More information

Novel Correction and Detection for Memory Applications 1 B.Pujita, 2 SK.Sahir

Novel Correction and Detection for Memory Applications 1 B.Pujita, 2 SK.Sahir Novel Correction and Detection for Memory Applications 1 B.Pujita, 2 SK.Sahir 1 M.Tech Research Scholar, Priyadarshini Institute of Technology & Science, Chintalapudi, India 2 HOD, Priyadarshini Institute

More information

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits N.Brindha, A.Kaleel Rahuman ABSTRACT: Auto scan, a design for testability (DFT) technique for synchronous sequential circuits.

More information

Design and Implementation of FPGA Configuration Logic Block Using Asynchronous Static NCL

Design and Implementation of FPGA Configuration Logic Block Using Asynchronous Static NCL Design and Implementation of FPGA Configuration Logic Block Using Asynchronous Static NCL Indira P. Dugganapally, Waleed K. Al-Assadi, Tejaswini Tammina and Scott Smith* Department of Electrical and Computer

More information

Lecture 2: Basic FPGA Fabric. James C. Hoe Department of ECE Carnegie Mellon University

Lecture 2: Basic FPGA Fabric. James C. Hoe Department of ECE Carnegie Mellon University 18 643 Lecture 2: Basic FPGA Fabric James. Hoe Department of EE arnegie Mellon University 18 643 F17 L02 S1, James. Hoe, MU/EE/ALM, 2017 Housekeeping Your goal today: know enough to build a basic FPGA

More information

Implementation of Dynamic RAMs with clock gating circuits using Verilog HDL

Implementation of Dynamic RAMs with clock gating circuits using Verilog HDL Implementation of Dynamic RAMs with clock gating circuits using Verilog HDL B.Sanjay 1 SK.M.Javid 2 K.V.VenkateswaraRao 3 Asst.Professor B.E Student B.E Student SRKR Engg. College SRKR Engg. College SRKR

More information

Interconnect Planning with Local Area Constrained Retiming

Interconnect Planning with Local Area Constrained Retiming Interconnect Planning with Local Area Constrained Retiming Ruibing Lu and Cheng-Kok Koh School of Electrical and Computer Engineering Purdue University,West Lafayette, IN, 47907, USA {lur, chengkok}@ecn.purdue.edu

More information

Voter Insertion Techniques for Fault Tolerant FPGA Design.

Voter Insertion Techniques for Fault Tolerant FPGA Design. Voter Insertion Techniques for Fault Tolerant FPGA Design. Jonathan Johnson Michael Wirthlin NSF Center for High Performance Reconfigurable Computing (CHREC) Dept. of Elec. & Comp. Engineering Brigham

More information

Avoiding False Pass or False Fail

Avoiding False Pass or False Fail Avoiding False Pass or False Fail By Michael Smith, Teradyne, October 2012 There is an expectation from consumers that today s electronic products will just work and that electronic manufacturers have

More information

A Greedy Heuristic Algorithm for Flip-Flop Replacement Power Reduction in Digital Integrated Circuits

A Greedy Heuristic Algorithm for Flip-Flop Replacement Power Reduction in Digital Integrated Circuits A Greedy Heuristic Algorithm for Flip-Flop Replacement Power Reduction in Digital Integrated Circuits C.N.Kalaivani 1, Ayswarya J.J 2 Assistant Professor, Dept. of ECE, Dhaanish Ahmed College of Engineering,

More information

DETERMINISTIC TEST PATTERN GENERATOR DESIGN WITH GENETIC ALGORITHM APPROACH

DETERMINISTIC TEST PATTERN GENERATOR DESIGN WITH GENETIC ALGORITHM APPROACH Journal of ELECTRICAL ENGINEERING, VOL. 58, NO. 3, 2007, 121 127 DETERMINISTIC TEST PATTERN GENERATOR DESIGN WITH GENETIC ALGORITHM APPROACH Gregor Papa Tomasz Garbolino Franc Novak Andrzej H lawiczka

More information

An optimized implementation of 128 bit carry select adder using binary to excess-one converter for delay reduction and area efficiency

An optimized implementation of 128 bit carry select adder using binary to excess-one converter for delay reduction and area efficiency Journal From the SelectedWorks of Journal December, 2014 An optimized implementation of 128 bit carry select adder using binary to excess-one converter for delay reduction and area efficiency P. Manga

More information

Implementation of Low Power and Area Efficient Carry Select Adder

Implementation of Low Power and Area Efficient Carry Select Adder International Journal of Engineering Science Invention ISSN (Online): 2319 6734, ISSN (Print): 2319 6726 Volume 3 Issue 8 ǁ August 2014 ǁ PP.36-48 Implementation of Low Power and Area Efficient Carry Select

More information

Design of Control System for Kiwifruit Automatic Grading Machine

Design of Control System for Kiwifruit Automatic Grading Machine Sensors & Transducers 2013 by IFSA http://www.sensorsportal.com Design o Control System or Kiwiruit Automatic Grading Machine Xingjian Zuo, * Lijia Xu College o Inormation Engineering and Technology, Sichuan

More information

Improving Autonomous Soft-error Tolerance of FPGA through LUT Configuration Bit Manipulation

Improving Autonomous Soft-error Tolerance of FPGA through LUT Configuration Bit Manipulation Improving Autonomous Soft-error Tolerance of FPGA through LUT Configuration Bit Manipulation Anup Das, Shyamsundar Venkataraman and Akash Kumar National University of Singapore, Singapore Email: {akdas,

More information

Essential Question: How can you use transformations of a parent square root function to graph. Explore Graphing and Analyzing the Parent

Essential Question: How can you use transformations of a parent square root function to graph. Explore Graphing and Analyzing the Parent COMMON CORE 4 9 7 5 Locker LESSON Graphing Square Root Functions Common Core Math Standards The student is epected to: COMMON CORE F-IF.C.7 Graph square root... functions. Also F-IF.B.4, F-IF.B.6, F-BF.B.

More information

An FPGA Implementation of Shift Register Using Pulsed Latches

An FPGA Implementation of Shift Register Using Pulsed Latches An FPGA Implementation of Shift Register Using Pulsed Latches Shiny Panimalar.S, T.Nisha Priscilla, Associate Professor, Department of ECE, MAMCET, Tiruchirappalli, India PG Scholar, Department of ECE,

More information

Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction

Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction Low Illinois Scan Architecture for Simultaneous and Test Data Volume Anshuman Chandra, Felix Ng and Rohit Kapur Synopsys, Inc., 7 E. Middlefield Rd., Mountain View, CA Abstract We present Low Illinois

More information

Research Article Low Power 256-bit Modified Carry Select Adder

Research Article Low Power 256-bit Modified Carry Select Adder Research Journal of Applied Sciences, Engineering and Technology 8(10): 1212-1216, 2014 DOI:10.19026/rjaset.8.1086 ISSN: 2040-7459; e-issn: 2040-7467 2014 Maxwell Scientific Publication Corp. Submitted:

More information

Skip Length and Inter-Starvation Distance as a Combined Metric to Assess the Quality of Transmitted Video

Skip Length and Inter-Starvation Distance as a Combined Metric to Assess the Quality of Transmitted Video Skip Length and Inter-Starvation Distance as a Combined Metric to Assess the Quality of Transmitted Video Mohamed Hassan, Taha Landolsi, Husameldin Mukhtar, and Tamer Shanableh College of Engineering American

More information

Design of Memory Based Implementation Using LUT Multiplier

Design of Memory Based Implementation Using LUT Multiplier Design of Memory Based Implementation Using LUT Multiplier Charan Kumar.k 1, S. Vikrama Narasimha Reddy 2, Neelima Koppala 3 1,2 M.Tech(VLSI) Student, 3 Assistant Professor, ECE Department, Sree Vidyanikethan

More information

WINTER 15 EXAMINATION Model Answer

WINTER 15 EXAMINATION Model Answer Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme. 2) The model answer and the answer written by candidate

More information

Design and Implementation of High Speed 256-Bit Modified Square Root Carry Select Adder

Design and Implementation of High Speed 256-Bit Modified Square Root Carry Select Adder Design and Implementation of High Speed 256-Bit Modified Square Root Carry Select Adder Muralidharan.R [1], Jodhi Mohana Monica [2], Meenakshi.R [3], Lokeshwaran.R [4] B.Tech Student, Department of Electronics

More information

The main design objective in adder design are area, speed and power. Carry Select Adder (CSLA) is one of the fastest

The main design objective in adder design are area, speed and power. Carry Select Adder (CSLA) is one of the fastest ISSN: 0975-766X CODEN: IJPTFI Available Online through Research Article www.ijptonline.com IMPLEMENTATION OF FAST SQUARE ROOT SELECT WITH LOW POWER CONSUMPTION V.Elanangai*, Dr. K.Vasanth Department of

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET476) Lecture 9 (2) Built-In-Self Test (Chapter 5) Said Hamdioui Computer Engineering Lab Delft University of Technology 29-2 Learning aims Describe the concept and

More information

ISSN:

ISSN: 427 AN EFFICIENT 64-BIT CARRY SELECT ADDER WITH REDUCED AREA APPLICATION CH PALLAVI 1, VSWATHI 2 1 II MTech, Chadalawada Ramanamma Engg College, Tirupati 2 Assistant Professor, DeptofECE, CREC, Tirupati

More information

Reduced Triple Modular Redundancy for Tolerating SEUs in SRAM-based FPGAs

Reduced Triple Modular Redundancy for Tolerating SEUs in SRAM-based FPGAs Reduced Triple Modular Redundancy for Tolerating SEUs in SRAM-based FPGAs Vikram Chandrasekhar Sk Noor Mahammad V Muralidaran V Kamakoti Department of Computer Science and Engineering Indian Institute

More information

K.T. Tim Cheng 07_dft, v Testability

K.T. Tim Cheng 07_dft, v Testability K.T. Tim Cheng 07_dft, v1.0 1 Testability Is concept that deals with costs associated with testing. Increase testability of a circuit Some test cost is being reduced Test application time Test generation

More information

Generation of Equivalent Configurations for Defect Tolerance and Yield Improvement of FPGAs

Generation of Equivalent Configurations for Defect Tolerance and Yield Improvement of FPGAs MEIN 214 March 28th, 214 resden, Germany Noname manuscript No. (will be inserted by the editor) Generation of Equivalent onfigurations for efect Tolerance and Yield Improvement of FPGs Parthasarathy M..

More information