An Integrated FPGA Design Framework: Custom Designed FPGA Platform and Application Mapping Toolset Development

Size: px
Start display at page:

Download "An Integrated FPGA Design Framework: Custom Designed FPGA Platform and Application Mapping Toolset Development"

Transcription

1 An Integrated FPGA Design Framework: Custom Designed FPGA Platform and Application Mapping Toolset Development V. Kalenteridis 1, H. Pournara 1, K. Siozios 2, K. Tatas 2, G. Koytroympezis 2, I. Pappas 1 S. Nikolaidis 1, S.Siskos 1, D. J. Soudris 2 and A. Thanailakis 2 1 Electronics and Computers Div., Department of Physics, Aristotle University of Thessaloniki, 54006, Thessaloniki, Greece {vkale, hpour}@skiathos.physics.auth.gr, ilpap@auth.gr {snikolaid, siskos}@physics.auth.gr 2 VLSI Design and Testing Center, Department of Electrical and Computer Engineering, Democritus University of Thrace, 67100, Xanthi, Greece {ksiop, ktatas, dsoudris, thanail}@ee.duth.gr Abstract. A complete system for the implementation of digital logic in a fine-grain reconfigurable platform is introduced. The system is composed of two parts: The fine-grain reconfigurable hardware platform (FPGA) on which the logic is implemented and the set of CAD tools for mapping logic to the FPGA platform. The novel energy-efficient FPGA architecture was designed and simulated in STM 0.18µm CMOS technology. Concerning the tool flow, each tool can operate as a standalone program as well as part of a complete design framework, composed by existing and new tools. Keywords: Low Power FPGA interconnect architecture, CLB Architecture, Graphical User Interface 1 Introduction and related work FPGAs have recently benefited from technology process advances to become significant alternatives to Application Specific Integrated Circuits (ASICs). An important feature that has made FPGAs, particularly attractive is a logic mapping and implementation flow similar to the ASIC design flow (from VHDL or Verilog down to the configuration bitstream) provided by the industrial sector [1, 2]. Academia has also shown initiative in the development of fine-grain reconfigurable architectures [3, 4, 5, 6, 7, 8, 9]. Many solid efforts for the development of a complete tool design flow from the academic sector have also taken place [10, 11, 12, 13, 14]. The main characteristics of the resulting tools are the requirement of a UNIX Operating System (which is quite expensive), as well as the need for some operating system (OS) knowledge from the designer, as these tools run from the command line. 2. Motivation and contribution Despite the above efforts, there is a gap in the complete design flow (from VHDL to configuration bitstream) provided by existing academic tools. This is, among other reasons due to the lack of an open-source synthesizer and a FPGA configuration bit-stream generation tool. Also, the existing design flows operate in text mode, which means that they have no Graphical User Interface (GUI). Additionally, most of the tools were designed and implemented for different operating systems (SUN OS, Linux, BSD, etc). Therefore, there is no existing complete academic system capable of implementing logic specified in a hardware description language in a FPGA, just an assortment of various finegrain architectures and tools that cannot be easily integrated into a complete system. In this paper, such a complete system is introduced. The design of an efficient FPGA architecture is presented. An exploration in terms of energy, delay and area at both Configurable Logic Block and interconnection architecture has been applied in order to make appropriate architecture decisions. Simulation results are presented in 0.18µm STM process. The design is mostly focused on minimizing energy dissipation, without significantly degrading delay and area. Additionally, a complete tool-supported design flow for mapping logic on the FPGA mentioned above is presented starting from a VHDL circuit description down to the FPGA configuration bitstream. The tools will be available by mid-march [29]. Section 3 describes the proposed architecture and circuit design for CLB and interconnect network. Section 4 presents the proposed design flow. Finally conclusions and future work are discussed in section FPGA Architecture In this section the FPGA architecture, which can be programmed using the developed toolset, is presented. The main design constraints are the energy minimization under the delay constraints, while maintaining a reasonable silicon area. 3.1 Configurable Logic Block (CLB) Architecture The design of the CLB architecture is crucial to the CLB granularity, performance, and power consumption. The proposed design flow supports cluster-based FPGA [13]. Therefore, the CLB consists of a collection

2 of Basic Logic Elements (BLEs), which are interconnected by a local network, Fig. 1. Fig.1a shows the structure of the BLE, which is formed by a Look-UP Table (LUT), a D-F/F and a 2-to-1 multiplexer, while in Fig.1b a cluster of BLEs form the CLB. A number of parameters have to be determined: a) the number of the LUT inputs (K), b) the number of a CLB BLEs (cluster size, N) and c) the number of CLB inputs (I). LUT Inputs (K). The Look-Up Table (LUT) is used for the implementation of logic functions. It has been demonstrated in [24] that 4-input LUTs lead to the lowest energy consumption for the FPGA, providing an efficient area-delay product. a)basic Logic Element (BLE) LUT and Multiplexer Design. The 4-input Look-Up- Table (LUT) in the BLE, is implemented by using a multiplexer (MUX), as shown in Fig. 2. The main difference from a typical MUX is that the control signals are the inputs to the LUT and the inputs to the multiplexer are stored in memory cells (S 0 -S 15 ). The LUT and MUX structures with the minimum-sized transistors were adopted, since they lead to the lowest energy consumption without degradation in the delay. Transistors of the minimum size are also used for the 2- to-1 multiplexer at the output of the BLE. D-Flip/Flop. A significant reduction in power consumption can be achieved by using Double Edge- Triggered Flip-Flop (DETFF), since it keeps the same data rate while working at half frequency, and the power dissipation on the clock network is halved. Five alternative implementations of the most popular DETFFs in literature were designed and simulated in STM 0.18µm process, in order to determine the optimum one. IN 1 IN 2 IN 3 IN 4 V D D V D D V D D V D D S 0 S 1 S 2 S 3 b) Proposed CLB Fig. 1: Structure of BLE and CLB CLB Inputs (I). An exploration for finding the number of CLB inputs, which provides 98% utilization of all the BLEs [17], results in an almost linear dependency with the number of LUT inputs (K), and the cluster size (N), considering the formula: I=(K/2) (N+1) (1) This affects the tools seen in the next section. Cluster Size (N). The Cluster Size corresponds to the number of BLEs within a CLB. Taking into account mostly the minimization of energy consumption, our design exploration showed that a cluster size of 5 BLEs leads to the minimization of energy consumption. 3.2 Circuit Design The CLB was designed at transistor level in order to obtain the maximum power savings. Also, it is well known that the minimization of the effective capacitance in the circuits leads to the low power requirement. This is achieved by using minimum-size transistors, at the cost of delay time. Power consumption minimization involves some techniques such as logic threshold adjustment in critical buffers and gated clock technique. Simulations were performed in Cadence framework using 0.18 STM technology. S 4 S 5 S 6 S 7 S 8 S 9 S 1 0 S 1 1 S 1 2 S 1 3 S 1 4 S 1 5 Fig. 2: Circuit design of the LUT O U T P U T Two versions of the F/F proposed in [20] (Chung1 and Chung2) and [19], (Llopis1 and Llopis2) are determined, depending on tri-state inverter type, as shown in Fig. 3. Another DETFF type has been proposed. in [15] (Strollo). The total energy consumed during the application of the input sequence shown in Fig. 4 and also the worst case delay from all the combinations of clock signal and data inputs are given in Table 1. Fig. 3: Type of tri-state inverters

3 Fig. 4: Input pulses to the Flip/Flops for simulation Table 1: Energy consumption, delay and energy delay product of DET F/Fs Cell Total Energy Delay Energy Delay (fjoules) (psec) Product Chung 1 [20] Chung 2 [20] Llopis 1 [19] Llopis 2 [19] Strollo [15] As it is observed, the F/Fs which exhibit the most favourable characteristics are the Llopis1 and the Chung2. In particular, the foregeating F/F has the lowest energy-delay product, while the latter one exhibits the lowest energy consumption. The Llopis-1a F/F presents the lowest energy consumption but Chung-2a presents the lowest energy delay product. Although the Llopis-1a does not exhibit the lowest energy delay product, it has simpler structure leading to smaller area and lower total energy consumption. Therefore, it was selected as the optimal solution. Gated-clock. Clock gating is applied both at BLE and CLB level. a) Gated-clock at BLE level. At BLE level when the clock enable,, is 0, the F/F is not triggered. The circuit structures that are used for simulation are given in Fig. 6, where the shaded inverters in the chain are set for measuring the effect of the input capacitance of the NAND gate, on the energy consumption. The average energy consumed for a positive and a negative output transition of the F/F shown in Fig. 5a and when using gated clock (Fig. 5b) considering both 0 and 1 for _ ENABLE signal, are given in Table 2. VDD INPUT MR D Q B VDD INPUT MR D Q B a) b) Fig. 5: a) Single clock signal b) Gated clock signal Table 2: Energy consumption for single and gated clock Single clock Gated clock E=40.76fJ Clock_enable: "1", E=43.44 fj Clock_enable : "0", E= 9.31 Fj It can be seen that energy savings of about 77% can be achieved when _ ENABLE is 0. However, when _ ENABLE is 1 there is a slight increase in energy consumption (6.2%) due to the larger capacitance of the NAND gate than the inverter s. b) Gated clock at CLB level. A gated clock at CLB level can minimize the energy at the local clock network when all F/Fs of the CLB are idle. In this case, the gated clock inputs of the F/Fs and the local clock network of the CLB are in logic level 0 and no dynamic energy is consumed. The circuit structures that are used to measure the energy consumption for the single and the gated clock cases are shown in Fig. 6. VDD VDD a b Fig. 6: a) Single clock circuit at CLB level b) Gated clock array at CLB level The energy consumption is measured for various conditions. The simulation results are given in Table 3. Table 3: Energy consumption for single and Gated clock at CLB level Condition Single Clock Gated Clock (NAND ) all F/Fs "OFF" E=23.1fJ E=3.9fJ One F/F "ON" E= 24.1fJ E= 32.1fJ all F/Fs "ON" E= 27.8fJ E= 35.8fJ As it can be observed, the gated clock technique results in a 83% reduction in energy consumption when all the F/Fs are OFF, in a 33% increase when only one F/F is ON, and in a 29% increase when all the F/Fs are ON. From these results it is clear that the adoption of the gated clock at the CLB level is reasonable, as long as the probability of all the F/Fs in the CLB to be OFF is higher than 1/3. The final adoption of the gated clock at CLB level is determined by experiments using the

4 physical design of these structures, in order the wire capacitance to be considered. Selected CLB architecture. Based on the results mentioned in the previous sections and those reported in the literature, a decision for the CLB architecture was made. Consequently, the features of the selected CLB are: a) Cluster of 5 BLEs, b) 4-inputs LUT per BLE, c) One double edge-triggered Flip-Flop per BLE, d) One Gated Clock signal per BLE and CLB, e) 12 inputs and 5 outputs provided by each CLB f) All 5 outputs can be registered g) A fully Connected CLB resulting to 17-to-1 multiplexing in every input of a LUT, h) One asynchronous Clear signal for whole CLB and i) One Clock signal for whole CLB. The placement and routing tool described in the next section is indifferent to the exact low-level implementations (transistor level), allowing us to employ several transistor-level low-power techniques Interconnect Network Architecture An SRAM-based, island-style interconnection architecture [12] was designed; this style of FPGA is employed by Xilinx, Lucent Technologies and the Vantis VF1. In this interconnection style, the logic blocks are surrounded by vertical and horizontal metal routing tracks, which connect the logic blocks, via programmable routing switches. These switches contribute significant capacitance and with the metal wire capacitance are responsible for the greatest amount of dissipated energy. Therefore, an investigation about the size of the routing switches, when driving wire segments of different length, is needed. However, the delay and the required area should remain within acceptable values. Routing switches are either pass transistors or pairs of tri-state buffers (one in each direction) and allow wire segments to be joined in order to form longer connections [22] Sizing Pass Transistor Routing Switches In this section the best routing pass transistor width is determined by evaluating the effect of different pass transistors widths on the energy-delay-area product. All experimental values have been derived from simulations of STM s 0.18µm, 6-metal layers CMOS technology process. Fig. 7 shows a typical routing interconnection with a wire of logical length equals one, connecting four logic blocks (CLB) via pass transistors. The logical length is defined as the number of logic blocks spanned by a routing wire. It is assumed that the connection box flexibility, Fc (defines the number of CLB pins can connect to routing wires) is equal to 1 for both input and output pins of the CLB. This value is considered for the worst-case scenario. The disjoint switch block topology, which gives an Fs=3 (number of routing wires which can be connected via routing switches), is used as in most commercial implementations. The pass transistors, which permit to connect the logic block output pin at each routing track add extra parasitic capacitance. These transistors have the same size as the other routing switches [13]. The wire is also loaded by the buffers, which drive the data signals into the logic block input pin. In addition, it should be noted that the routing wire is laid out in metal 3, because it has the lowest capacitance value among routing metals for the used technology. Moreover, it is investigated if FPGA routing wires benefit from greater than minimum metal width or spacing. Fig. 8 plots the energy-delay-area product as a function of the pass transistor width for four different wire lengths, in the case of minimum width wire and minimum spacing between two metal wires. The width of the routing transistors is shown relative to the minimum contacted transistor width of 0.18µm technology. The plotted curves show that for wire lengths of 1, 2 and 4 logic blocks, transistor of 10 and 16 times the minimum one are essentially tied for the optimal energy delay area product. However, it is obvious that the optimal width is 64 times the minimum for wire length of 8, but it leads to an unacceptable silicon area. Fig. 7: FPGA routing experiment circuitry Fig. 8: Energy-Delay-Area product vs. routing pass transistor width The energy delay area product as a function of the pass transistor width for different wire lengths is plotted in Fig. 9, for the case which minimum width and doublespacing is used. Energy delay area product is improved in this case, which means that this wire configuration is more efficient than the previous one since an increase in spacing between metal wires decreases the stray capacitance, leading to energy reduction. The optimum

5 routing pass transistor width is ten times the minimum one for wire lengths of 1, 2 and 4 logic blocks, while it still remains 64 times the minimum width for wire length of 8. The curves of energy delay area product relative to the different widths of the routing switches double width, double-spacing, are illustrated in Fig. 10. It can be seen that transistor width of 10 times the minimum one yields the best energy delay area product, for wire lengths of 1, 2, 4 and 16 times the minimum for wire length of 8. Fig. 9: Energy-Delay-Area product vs. routing pass transistor width The increase of metal width reduces the metal resistance and so the circuit delay, but on the other hand there is some increase in the metal capacitance and hence to the system energy dissipation. It is worthy of note that the metal pitch is increased by either increasing metal width or spacing. Although the interconnection area is increased when it is used double spacing between two metal wires the total area is not affected significantly, since it is limited by the area occupied by the Switch Box. Summarizing, the optimum width from an energy, delay and area perspective is ten times the minimum for wire length of 1, 2 and 4 for all cases. The best routing pass transistor size for wire length of 8 and 64 times the minimum one. However such a large pass transistor width would lead to an unacceptable switch box area and consequently the channel with, influencing significantly the whole FPGA area. Therefore a transistor with ten times the minimum width is selected for this application. that each pass transistor between two routing wire segments is replaced by two tri-state buffers -one in each direction. In the tri-state buffer sizing exploration, two stages have been used in order to minimize energy-delayarea of the buffer [16], and also the maximum transistor width is limited to 16 times the minimum one, because energy dissipation becomes prohibitive beyond this size. The first stage is consisted by an inverter with minimum contactable width (0.28µm) nmos and pmos transistors in order to achieve logic threshold adjustment. Due to lack of space, similar results with the previous subsection were omitted. They indicated that pass transistors routing switches with a wire length of 1 and minimum width double-spacing will be used in order to achieve a low energy fine-grain FPGA. It should be noted that the exact transistor-level implementation of the interconnect network does not affect the function of the placement and routing tool, but the overall topology that was selected does. Therefore, the design of the FPGA platform and the development of the tool flow that will be presented in the following section is an interactive task. 4. Proposed Design Flow Equally important to an FPGA platform is a tool set, which supports the implementation of digital logic on the proposed FPGA. Therefore, such a design flow was realized. The proposed design flow comprises a sequenced set of steps employed in programming an FPGA chip, as shown in Fig. 11. The circuit is first described in VHDL, while the output of the CAD flow is the bit stream file that can be used to program the FPGA. Three different types of tools comprise the flow: i) nonmodified existing tools, ii) modified existing tools, iii) and new tools. Fig. 10: Energy-Delay-Area product vs. routing pass transistor width Sizing Tri-state Buffer Routing Switches In order to determine the best size of tri-state buffers, a procedure identical to that described in the prior section is followed. The configuration of Fig. 7 is used, except Fig. 11: The proposed design flow

6 4.1. Tools of the proposed design flow In this section, the tools that form the complete design framework are described. All of them can be executed both from the command line and the GUI presented in the next subsection. It should be noted, that the proposed design flow possesses the following attractive features: i) Technology Independence: The proposed CAD flow provides process technology independence in order to allow designers to easily implement their design in different process technologies. ii) Portability: The proposed flow has been designed to run on several hardware platforms, from i386 based microcomputers to SPARC stations. iii) Modularity: Each tool can operate as a standalone program as well as a part of the complete design framework. For this reason, most of the tools support several different standard VLSI circuit description formats (VHDL, EDIF, BLIF). iv) Compactness: Unlike commercial CAD tools, the proposed CAD framework suits the limited resources of low-cost PCs. For PC operation, the minimum requirements for a Linux system are an i486 PC with 32 Mbytes of memory, appropriate disk storage (350 Mbytes), and graphic capabilities (X-Windows). On the other hand, there is the possibility to use the design flow through the Internet or the CD-ROM. In the first case, the users need to have only a web-browser installed into their PC and a connection to the Internet. On the other hand, if the CD-ROM is chosen, then the user has only to boot the PC with the appropriate Live-CD that includes the LINUX Operating System as well as the executables of the tools, and start using the design flow. v) Ease of use: All the tools as well as the proposed design flow, are simple to use with no experience required at the Linux OS, as the Graphical User Interface (GUI) provides a user-friendly interface. Furthermore, the on-line documentation in combination with the paper and manuals that are provided with each tool, help the non-experienced user to program the FPGA by using the proposed design packages. It should be noted that this tool set in its current version supports the island-style FPGA architecture described in section 4. VHDL Parser VHDL Parser [26] is a tool that performs syntax checking of VHDL input files. Input: VHDL source. Output: Syntax check message. Usage: This tool is used to check the correctness of the VHDL file compared to the prototype VHDL-93 [25]. DIVINER Input: VHDL source. Output: EDIF netlist (commercial tool format). Usage: The DIVINER tool is used as a synthesizer of behavioral VHDL language. DRUID Input: EDIF netlist (commercial tool format). Output: EDIF netlist (T-VPack format). Usage: The DRUID tool is used to modify the EDIF [28] output file that is produced during the synthesis step, so that is can be used by the following tools of the design flow. E2FMT Input: EDIF generic netlist. Output: BLIF generic netlist Usage: translation of the netlist from EDIF to BLIF [27] format. SIS [30] Input: BLIF generic netlist. Output: BLIF netlist (LUTs and F/Fs). Usage: LUT mapping. T-VPack Input: BLIF netlist (LUTs and F/Fs). Output: T-VPack netlist (LUTs and F/Fs packed to CLBs). Usage: The T- VPack tool [21] is used to group a LUT and an F/F to form a Basic Logic Element (BLE) or some BLEs to form a Cluster. DUTYS: Input: FPGA features. Output: FPGA architecture file. Usage: Generates the architecture file description of the target FPGA. PowerModel Input: BLIF netlist, Placement and routing file. Output: Power estimation report Usage: The PowerModel tool [14] estimates the dynamic, short-circuit, and leakage power consumption of an island-style FPGA. VPR Input: T-VPack netlist (LUTs and F/Fs), FPGA architecture file. Output: Placement and routing file. Usage: placement and routing of the target circuit into the FPGA. DAGGER Input: PowerModel, Placement and Routing file, FPGA architecture file, T-VPack netlist. Output: FPGA configuration bit stream file. Usage: The DAGGER tool is used to generate the bitstream file Graphical User Interface The Graphical User Interface (GUI) provides the designer with the opportunities to easily use all (or some of the tools) that are included in the proposed design flow. The GUI is shown in the Fig. 12. It consists of six independent stages: i) the File Upload, ii) the Synthesis, iii) the Format Translation, iv) the Power Estimation, v) the Placement and Routing and vi) the FPGA Program stage. Until now, there is no other academic

7 implementation of such a complete graphical design chain. The main GUI advantage is the fact that it is friendly to the non-experienced designer who does not need to be familiar with the Linux OS. It is possible to run it from a local PC or through the Internet/Intranet, and the source code can be easily modified in order to add more tools. Regardless of the execution (locally or through the network) the proposed interface runs on the web-browser, and can program an FPGA that is attached to the user s PC. Fig. 12: The graphical user interface (GUI) for the tool 5. Conclusions This paper demonstrated the first complete system for implementing digital logic on a fine-grain reconfigurable platform. It includes the design of both the FPGA architecture and the complete design flow (from VHDL to bitstream) consisting entirely of academic tools, which allows the mapping of logic on the presented novel FPGA architecture. The novel FPGA architecture was designed and implemented in STM 0.18µm CMOS technology. The obtained simulation results prove the attractive features of the proposed architecture. On the other hand, in contrast to commercial CAD systems, the proposed design flow can accomplish a FPGA design and is publicly available and very friendly to the nonexperienced designers. Acknowledgement This work was partially supported by the project IST AMDREL which is funded by the European Commission. References Edward Tau, Derrick Chen, Ian Eslick, Jeremy Brown, Andre DeHon, A First Generation FPGA Implementation, FPD 95, Third Canadian Workshop of Field-Programmable Devices, May 29-June 1, 1995, Montreal, Canada 4. C. Ebeling, G. Borriello, S. A. Hauck, D. Song, and E.A. Walkup, TRIPTYCH: A new FPGA architecture, in FPGA s, W. Moore and W. Luk, Eds. Abingdon, U.K.L Abingdon, 1991, ch 3.1, pp G. Borriello, C. Ebeling, S. A. Hauck, and S. Burns, The Triptych FPGA architecture, IEEE Trans. VLSI Syst., vol 3, pp , Dec S. Hauck, G. Borriello, S. Burns, and C. Ebeling, MONTAGE: An FPGA for synchronous and asynchronous circuits, in Proc. 2nd Int. Workshop Field-Programmable Logic Applicat., Vienna, Austria, Sept P. Chow, S. O. Seo, D. Au, T. Choy, B. Fallah, D. Lewis, C. Li, and J. Rose, A 1.2 µm CMOS FPGA using cascaded logic blocks and segmented routing, in FPGA s W. Moore and W. Luk, Eds. Abingdon, U.K.: Abingdon, 1991, ch 3.2, pp V. George, H. Zhang, J. Rabaey, The Design of a Low Energy FPGA, ISLPED K. Leijten-Nowak, J. L. van Meerbergen, Embedded Reconfigurable Logic Core for DSP Applications, Field- Programmable Logic and Applications (FPL) 2002, Montpellier, France, 2002, pp G. Varghese, J. M. Rabaey, Low-Energy FPGAs- Architecture and Design, Kluwer Academic Publishers, V. Betz, J. Rose and A. Marquardt, Architecture and CAD for Deep-Submicron FPGAs, Kluwer Academic Publishers, K. Poon, A. Yan, S. Wilton, A Flexible Power Model for FPGAs, Field-Programmable Logic and Applications (FPL) 2002, Montpellier, France, 2002, pp A.G.M. Strollo, E. Napoli, C. Cimino, Analysis of Power Dissipation in Double Edge Triggered Flip-Flops, IEEE Transaction on VLSI Systems, Vol. 8, No. 5, October 2000, pp B. S. Cherkauer, E.G. Friedman, Unification of Speed, Power, Area and Reliability in CMOS Tapered Buffer Design, International Symposium on Circuits and Systems, ISCAS 1994, pp E. Ahmed, J. Rose, The effect of LUT and cluster size on deep submicron FPGA performance and density, ACM International Symposium of on FPGAs, Monterey 2000(2000), pp Ken McElvain, Benchmarks tests files, MCNC International Workshop on Logic Synthesis 1993, ftp://ftp.mcnc.org/pub/benchmark/benchmark_dirs/lgsynth93/ LGSynth93.tar 19. R. Peset Llopis, and M. Sachdev, Low Power, Testable Dual Edge Triggered Flip-Flops, Proceedings of IEEE International Symposium on Low Power Electronics and Design, August 1996, Montray, USA 20. T. Lo, W. Man Chung, and M Sachdev, A Comparative Analysis of Dual Edge Triggered Flip-flops, IEEE Transactions on VLSI Systems, Vol.10, No.6, December 2002, pp V.Betz, VPR and T-VPack User s Manual, ver 4.30, March 2000 ( 22. V.Betz, J. Rose, Circuit Design, Transistor Sizing and Wire Layout of FPGA Interconnect, IEEE Custom Integrated Circuits Conference, (CICC), San Diego, California, V. Betz, J. Rose, Cluster-Based Logic Blocks for FPGAs: Area-Efficiency vs. Input Sharing and Size, IEEE Custom Integrated Circuits Conference, Santa Clara, CA (1997), pp

8 24. V. Betz, J. Rose, FPGA Routing Architecture: Segmentation and Buffering to Optimize Speed and Density, ACM/SIGDA International Symposium on Field Programmable Gate Arrays, Monterey, CA (1999), pp Parser-0.12/ M. Sentovich, K. J. Singh, L. Lavagno, et al.: SIS: A System for Sequential Circuit Synthesis, UCB/ERL M92/41 (1992)

A Novel FPGA Architecture and an Integrated Framework of CAD Tools for Implementing Applications

A Novel FPGA Architecture and an Integrated Framework of CAD Tools for Implementing Applications IEICE TRANS. INF. & SYST., VOL.E88 D, NO.7 JULY 2005 1369 PAPER Special Section on Recent Advances in Circuits and Systems A Novel FPGA Architecture and an Integrated Framework of CAD Tools for Implementing

More information

Investigation of Look-Up Table Based FPGAs Using Various IDCT Architectures

Investigation of Look-Up Table Based FPGAs Using Various IDCT Architectures Investigation of Look-Up Table Based FPGAs Using Various IDCT Architectures Jörn Gause Abstract This paper presents an investigation of Look-Up Table (LUT) based Field Programmable Gate Arrays (FPGAs)

More information

288 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 12, NO. 3, MARCH 2004

288 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 12, NO. 3, MARCH 2004 288 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 12, NO. 3, MARCH 2004 The Effect of LUT and Cluster Size on Deep-Submicron FPGA Performance and Density Elias Ahmed and Jonathan

More information

HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP

HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP 1 R.Ramya, 2 C.Hamsaveni 1,2 PG Scholar, Department of ECE, Hindusthan Institute Of Technology,

More information

On the Sensitivity of FPGA Architectural Conclusions to Experimental Assumptions, Tools, and Techniques

On the Sensitivity of FPGA Architectural Conclusions to Experimental Assumptions, Tools, and Techniques On the Sensitivity of FPGA Architectural Conclusions to Experimental Assumptions, Tools, and Techniques Andy Yan, Rebecca Cheng, Steven J.E. Wilton Department of Electrical and Computer Engineering University

More information

Optimizing area of local routing network by reconfiguring look up tables (LUTs)

Optimizing area of local routing network by reconfiguring look up tables (LUTs) Vol.2, Issue.3, May-June 2012 pp-816-823 ISSN: 2249-6645 Optimizing area of local routing network by reconfiguring look up tables (LUTs) Sathyabhama.B 1 and S.Sudha 2 1 M.E-VLSI Design 2 Dept of ECE Easwari

More information

Modifying the Scan Chains in Sequential Circuit to Reduce Leakage Current

Modifying the Scan Chains in Sequential Circuit to Reduce Leakage Current IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 3, Issue 1 (Sep. Oct. 2013), PP 01-09 e-issn: 2319 4200, p-issn No. : 2319 4197 Modifying the Scan Chains in Sequential Circuit to Reduce Leakage

More information

L11/12: Reconfigurable Logic Architectures

L11/12: Reconfigurable Logic Architectures L11/12: Reconfigurable Logic Architectures Acknowledgements: Materials in this lecture are courtesy of the following people and used with permission. - Randy H. Katz (University of California, Berkeley,

More information

EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP. Due İLKER KALYONCU, 10043

EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP. Due İLKER KALYONCU, 10043 EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP Due 16.05. İLKER KALYONCU, 10043 1. INTRODUCTION: In this project we are going to design a CMOS positive edge triggered master-slave

More information

L12: Reconfigurable Logic Architectures

L12: Reconfigurable Logic Architectures L12: Reconfigurable Logic Architectures Acknowledgements: Materials in this lecture are courtesy of the following sources and are used with permission. Frank Honore Prof. Randy Katz (Unified Microelectronics

More information

Leakage Current Reduction in Sequential Circuits by Modifying the Scan Chains

Leakage Current Reduction in Sequential Circuits by Modifying the Scan Chains eakage Current Reduction in Sequential s by Modifying the Scan Chains Afshin Abdollahi University of Southern California (3) 592-3886 afshin@usc.edu Farzan Fallah Fujitsu aboratories of America (48) 53-4544

More information

GlitchLess: An Active Glitch Minimization Technique for FPGAs

GlitchLess: An Active Glitch Minimization Technique for FPGAs GlitchLess: An Active Glitch Minimization Technique for FPGAs Julien Lamoureux, Guy G. Lemieux, Steven J.E. Wilton Department of Electrical and Computer Engineering University of British Columbia Vancouver,

More information

Gated Driver Tree Based Power Optimized Multi-Bit Flip-Flops

Gated Driver Tree Based Power Optimized Multi-Bit Flip-Flops International Journal of Emerging Engineering Research and Technology Volume 2, Issue 4, July 2014, PP 250-254 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) Gated Driver Tree Based Power Optimized Multi-Bit

More information

EN2911X: Reconfigurable Computing Topic 01: Programmable Logic. Prof. Sherief Reda School of Engineering, Brown University Fall 2014

EN2911X: Reconfigurable Computing Topic 01: Programmable Logic. Prof. Sherief Reda School of Engineering, Brown University Fall 2014 EN2911X: Reconfigurable Computing Topic 01: Programmable Logic Prof. Sherief Reda School of Engineering, Brown University Fall 2014 1 Contents 1. Architecture of modern FPGAs Programmable interconnect

More information

LOW POWER DOUBLE EDGE PULSE TRIGGERED FLIP FLOP DESIGN

LOW POWER DOUBLE EDGE PULSE TRIGGERED FLIP FLOP DESIGN INTERNATIONAL JOURNAL OF RESEARCH IN COMPUTER APPLICATIONS AND ROBOTICS ISSN 2320-7345 LOW POWER DOUBLE EDGE PULSE TRIGGERED FLIP FLOP DESIGN G.Swetha 1, T.Krishna Murthy 2 1 Student, SVEC (Autonomous),

More information

AN EFFICIENT LOW POWER DESIGN FOR ASYNCHRONOUS DATA SAMPLING IN DOUBLE EDGE TRIGGERED FLIP-FLOPS

AN EFFICIENT LOW POWER DESIGN FOR ASYNCHRONOUS DATA SAMPLING IN DOUBLE EDGE TRIGGERED FLIP-FLOPS AN EFFICIENT LOW POWER DESIGN FOR ASYNCHRONOUS DATA SAMPLING IN DOUBLE EDGE TRIGGERED FLIP-FLOPS NINU ABRAHAM 1, VINOJ P.G 2 1 P.G Student [VLSI & ES], SCMS School of Engineering & Technology, Cochin,

More information

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL Random Access Scan Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL ramamve@auburn.edu Term Paper for ELEC 7250 (Spring 2005) Abstract: Random Access

More information

Why FPGAs? FPGA Overview. Why FPGAs?

Why FPGAs? FPGA Overview. Why FPGAs? Transistor-level Logic Circuits Positive Level-sensitive EECS150 - Digital Design Lecture 3 - Field Programmable Gate Arrays (FPGAs) January 28, 2003 John Wawrzynek Transistor Level clk clk clk Positive

More information

A Low Power Delay Buffer Using Gated Driver Tree

A Low Power Delay Buffer Using Gated Driver Tree IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) ISSN: 2319 4200, ISBN No. : 2319 4197 Volume 1, Issue 4 (Nov. - Dec. 2012), PP 26-30 A Low Power Delay Buffer Using Gated Driver Tree Kokkilagadda

More information

Design and Simulation of a Digital CMOS Synchronous 4-bit Up-Counter with Set and Reset

Design and Simulation of a Digital CMOS Synchronous 4-bit Up-Counter with Set and Reset Design and Simulation of a Digital CMOS Synchronous 4-bit Up-Counter with Set and Reset Course Number: ECE 533 Spring 2013 University of Tennessee Knoxville Instructor: Dr. Syed Kamrul Islam Prepared by

More information

PERFORMANCE ANALYSIS OF AN EFFICIENT PULSE-TRIGGERED FLIP FLOPS FOR ULTRA LOW POWER APPLICATIONS

PERFORMANCE ANALYSIS OF AN EFFICIENT PULSE-TRIGGERED FLIP FLOPS FOR ULTRA LOW POWER APPLICATIONS Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology ISSN 2320 088X IMPACT FACTOR: 5.258 IJCSMC,

More information

A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY

A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY Ms. Chaitali V. Matey 1, Ms. Shraddha K. Mendhe 2, Mr. Sandip A.

More information

Combining Dual-Supply, Dual-Threshold and Transistor Sizing for Power Reduction

Combining Dual-Supply, Dual-Threshold and Transistor Sizing for Power Reduction Combining Dual-Supply, Dual-Threshold and Transistor Sizing for Reduction Stephanie Augsburger 1, Borivoje Nikolić 2 1 Intel Corporation, Enterprise Processors Division, Santa Clara, CA, USA. 2 Department

More information

REDUCING DYNAMIC POWER BY PULSED LATCH AND MULTIPLE PULSE GENERATOR IN CLOCKTREE

REDUCING DYNAMIC POWER BY PULSED LATCH AND MULTIPLE PULSE GENERATOR IN CLOCKTREE Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 3, Issue. 5, May 2014, pg.210

More information

Field Programmable Gate Arrays (FPGAs)

Field Programmable Gate Arrays (FPGAs) Field Programmable Gate Arrays (FPGAs) Introduction Simulations and prototyping have been a very important part of the electronics industry since a very long time now. Before heading in for the actual

More information

LFSR Counter Implementation in CMOS VLSI

LFSR Counter Implementation in CMOS VLSI LFSR Counter Implementation in CMOS VLSI Doshi N. A., Dhobale S. B., and Kakade S. R. Abstract As chip manufacturing technology is suddenly on the threshold of major evaluation, which shrinks chip in size

More information

DUAL EDGE-TRIGGERED D-TYPE FLIP-FLOP WITH LOW POWER CONSUMPTION

DUAL EDGE-TRIGGERED D-TYPE FLIP-FLOP WITH LOW POWER CONSUMPTION DUAL EDGE-TRIGGERED D-TYPE FLIP-FLOP WITH LOW POWER CONSUMPTION Chien-Cheng Yu 1, 2 and Ching-Chith Tsai 1 1 Department of Electrical Engineering, National Chung-Hsing University, Taichung, Taiwan 2 Department

More information

International Journal of Emerging Technologies in Computational and Applied Sciences (IJETCAS)

International Journal of Emerging Technologies in Computational and Applied Sciences (IJETCAS) International Association of Scientific Innovation and Research (IASIR) (An Association Unifying the Sciences, Engineering, and Applied Research) International Journal of Emerging Technologies in Computational

More information

Exploring Architecture Parameters for Dual-Output LUT based FPGAs

Exploring Architecture Parameters for Dual-Output LUT based FPGAs Exploring Architecture Parameters for Dual-Output LUT based FPGAs Zhenghong Jiang, Colin Yu Lin, Liqun Yang, Fei Wang and Haigang Yang System on Programmable Chip Research Department, Institute of Electronics,

More information

data and is used in digital networks and storage devices. CRC s are easy to implement in binary

data and is used in digital networks and storage devices. CRC s are easy to implement in binary Introduction Cyclic redundancy check (CRC) is an error detecting code designed to detect changes in transmitted data and is used in digital networks and storage devices. CRC s are easy to implement in

More information

SYNCHRONOUS DERIVED CLOCK AND SYNTHESIS OF LOW POWER SEQUENTIAL CIRCUITS *

SYNCHRONOUS DERIVED CLOCK AND SYNTHESIS OF LOW POWER SEQUENTIAL CIRCUITS * SYNCHRONOUS DERIVED CLOCK AND SYNTHESIS OF LOW POWER SEUENTIAL CIRCUITS * Wu Xunwei (Department of Electronic Engineering Hangzhou University Hangzhou 328) ing Wu Massoud Pedram (Department of Electrical

More information

Power Optimization by Using Multi-Bit Flip-Flops

Power Optimization by Using Multi-Bit Flip-Flops Volume-4, Issue-5, October-2014, ISSN No.: 2250-0758 International Journal of Engineering and Management Research Page Number: 194-198 Power Optimization by Using Multi-Bit Flip-Flops D. Hazinayab 1, K.

More information

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September-2014 917 The Power Optimization of Linear Feedback Shift Register Using Fault Coverage Circuits K.YARRAYYA1, K CHITAMBARA

More information

Fine-grain Leakage Optimization in SRAM based FPGAs

Fine-grain Leakage Optimization in SRAM based FPGAs Fine-grain Leakage Optimization in based FPGAs Abstract FPGAs are evolving at a rapid pace with improved performance and logic density. At the same time, trends in technology scaling makes leakage power

More information

VLSI Design: 3) Explain the various MOSFET Capacitances & their significance. 4) Draw a CMOS Inverter. Explain its transfer characteristics

VLSI Design: 3) Explain the various MOSFET Capacitances & their significance. 4) Draw a CMOS Inverter. Explain its transfer characteristics 1) Explain why & how a MOSFET works VLSI Design: 2) Draw Vds-Ids curve for a MOSFET. Now, show how this curve changes (a) with increasing Vgs (b) with increasing transistor width (c) considering Channel

More information

COPY RIGHT. To Secure Your Paper As Per UGC Guidelines We Are Providing A Electronic Bar Code

COPY RIGHT. To Secure Your Paper As Per UGC Guidelines We Are Providing A Electronic Bar Code COPY RIGHT 2018IJIEMR.Personal use of this material is permitted. Permission from IJIEMR must be obtained for all other uses, in any current or future media, including reprinting/republishing this material

More information

Design and Implementation of FPGA Configuration Logic Block Using Asynchronous Static NCL

Design and Implementation of FPGA Configuration Logic Block Using Asynchronous Static NCL Design and Implementation of FPGA Configuration Logic Block Using Asynchronous Static NCL Indira P. Dugganapally, Waleed K. Al-Assadi, Tejaswini Tammina and Scott Smith* Department of Electrical and Computer

More information

Efficient Architecture for Flexible Prescaler Using Multimodulo Prescaler

Efficient Architecture for Flexible Prescaler Using Multimodulo Prescaler Efficient Architecture for Flexible Using Multimodulo G SWETHA, S YUVARAJ Abstract This paper, An Efficient Architecture for Flexible Using Multimodulo is an architecture which is designed from the proposed

More information

A Fast Constant Coefficient Multiplier for the XC6200

A Fast Constant Coefficient Multiplier for the XC6200 A Fast Constant Coefficient Multiplier for the XC6200 Tom Kean, Bernie New and Bob Slous Xilinx Inc. Abstract. We discuss the design of a high performance constant coefficient multiplier on the Xilinx

More information

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath

Objectives. Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath Objectives Combinational logics Sequential logics Finite state machine Arithmetic circuits Datapath In the previous chapters we have studied how to develop a specification from a given application, and

More information

P.Akila 1. P a g e 60

P.Akila 1. P a g e 60 Designing Clock System Using Power Optimization Techniques in Flipflop P.Akila 1 Assistant Professor-I 2 Department of Electronics and Communication Engineering PSR Rengasamy college of engineering for

More information

Report on 4-bit Counter design Report- 1, 2. Report on D- Flipflop. Course project for ECE533

Report on 4-bit Counter design Report- 1, 2. Report on D- Flipflop. Course project for ECE533 Report on 4-bit Counter design Report- 1, 2. Report on D- Flipflop Course project for ECE533 I. Objective: REPORT-I The objective of this project is to design a 4-bit counter and implement it into a chip

More information

RELATED WORK Integrated circuits and programmable devices

RELATED WORK Integrated circuits and programmable devices Chapter 2 RELATED WORK 2.1. Integrated circuits and programmable devices 2.1.1. Introduction By the late 1940s the first transistor was created as a point-contact device formed from germanium. Such an

More information

A Power Efficient Flip Flop by using 90nm Technology

A Power Efficient Flip Flop by using 90nm Technology A Power Efficient Flip Flop by using 90nm Technology Mrs. Y. Lavanya Associate Professor, ECE Department, Ramachandra College of Engineering, Eluru, W.G (Dt.), A.P, India. Email: lavanya.rcee@gmail.com

More information

Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA

Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA M.V.M.Lahari 1, M.Mani Kumari 2 1,2 Department of ECE, GVPCEOW,Visakhapatnam. Abstract The increasing growth of sub-micron

More information

Abstract 1. INTRODUCTION. Cheekati Sirisha, IJECS Volume 05 Issue 10 Oct., 2016 Page No Page 18532

Abstract 1. INTRODUCTION. Cheekati Sirisha, IJECS Volume 05 Issue 10 Oct., 2016 Page No Page 18532 www.ijecs.in International Journal Of Engineering And Computer Science ISSN: 2319-7242 Volume 5 Issue 10 Oct. 2016, Page No. 18532-18540 Pulsed Latches Methodology to Attain Reduced Power and Area Based

More information

The Stratix II Logic and Routing Architecture

The Stratix II Logic and Routing Architecture The Stratix II Logic and Routing Architecture David Lewis*, Elias Ahmed*, Gregg Baeckler, Vaughn Betz*, Mark Bourgeault*, David Cashman*, David Galloway*, Mike Hutton, Chris Lane, Andy Lee, Paul Leventis*,

More information

A Low-Power CMOS Flip-Flop for High Performance Processors

A Low-Power CMOS Flip-Flop for High Performance Processors A Low-Power CMOS Flip-Flop for High Performance Processors Preetisudha Meher, Kamala Kanta Mahapatra Dept. of Electronics and Telecommunication National Institute of Technology Rourkela, India Preetisudha1@gmail.com,

More information

128 BIT CARRY SELECT ADDER USING BINARY TO EXCESS-ONE CONVERTER FOR DELAY REDUCTION AND AREA EFFICIENCY

128 BIT CARRY SELECT ADDER USING BINARY TO EXCESS-ONE CONVERTER FOR DELAY REDUCTION AND AREA EFFICIENCY 128 BIT CARRY SELECT ADDER USING BINARY TO EXCESS-ONE CONVERTER FOR DELAY REDUCTION AND AREA EFFICIENCY 1 Mrs.K.K. Varalaxmi, M.Tech, Assoc. Professor, ECE Department, 1varuhello@Gmail.Com 2 Shaik Shamshad

More information

DIFFERENTIAL CONDITIONAL CAPTURING FLIP-FLOP TECHNIQUE USED FOR LOW POWER CONSUMPTION IN CLOCKING SCHEME

DIFFERENTIAL CONDITIONAL CAPTURING FLIP-FLOP TECHNIQUE USED FOR LOW POWER CONSUMPTION IN CLOCKING SCHEME DIFFERENTIAL CONDITIONAL CAPTURING FLIP-FLOP TECHNIQUE USED FOR LOW POWER CONSUMPTION IN CLOCKING SCHEME Mr.N.Vetriselvan, Assistant Professor, Dhirajlal Gandhi College of Technology Mr.P.N.Palanisamy,

More information

Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky,

Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky, Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky, tomott}@berkeley.edu Abstract With the reduction of feature sizes, more sources

More information

Electrical & Computer Engineering ECE 491. Introduction to VLSI. Report 1

Electrical & Computer Engineering ECE 491. Introduction to VLSI. Report 1 Electrical & Computer Engineering ECE 491 Introduction to VLSI Report 1 Marva` Morrow INTRODUCTION Flip-flops are synchronous bistable devices (multivibrator) that operate as memory elements. A bistable

More information

Design of Fault Coverage Test Pattern Generator Using LFSR

Design of Fault Coverage Test Pattern Generator Using LFSR Design of Fault Coverage Test Pattern Generator Using LFSR B.Saritha M.Tech Student, Department of ECE, Dhruva Institue of Engineering & Technology. Abstract: A new fault coverage test pattern generator

More information

An optimized implementation of 128 bit carry select adder using binary to excess-one converter for delay reduction and area efficiency

An optimized implementation of 128 bit carry select adder using binary to excess-one converter for delay reduction and area efficiency Journal From the SelectedWorks of Journal December, 2014 An optimized implementation of 128 bit carry select adder using binary to excess-one converter for delay reduction and area efficiency P. Manga

More information

University College of Engineering, JNTUK, Kakinada, India Member of Technical Staff, Seerakademi, Hyderabad

University College of Engineering, JNTUK, Kakinada, India Member of Technical Staff, Seerakademi, Hyderabad Power Analysis of Sequential Circuits Using Multi- Bit Flip Flops Yarramsetti Ramya Lakshmi 1, Dr. I. Santi Prabha 2, R.Niranjan 3 1 M.Tech, 2 Professor, Dept. of E.C.E. University College of Engineering,

More information

A Symmetric Differential Clock Generator for Bit-Serial Hardware

A Symmetric Differential Clock Generator for Bit-Serial Hardware A Symmetric Differential Clock Generator for Bit-Serial Hardware Mitchell J. Myjak and José G. Delgado-Frias School of Electrical Engineering and Computer Science Washington State University Pullman, WA,

More information

Design of a Low Power and Area Efficient Flip Flop With Embedded Logic Module

Design of a Low Power and Area Efficient Flip Flop With Embedded Logic Module IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 10, Issue 6, Ver. II (Nov - Dec.2015), PP 40-50 www.iosrjournals.org Design of a Low Power

More information

Peak Dynamic Power Estimation of FPGA-mapped Digital Designs

Peak Dynamic Power Estimation of FPGA-mapped Digital Designs Peak Dynamic Power Estimation of FPGA-mapped Digital Designs Abstract The Peak Dynamic Power Estimation (P DP E) problem involves finding input vector pairs that cause maximum power dissipation (maximum

More information

Leveraging Reconfigurability to Raise Productivity in FPGA Functional Debug

Leveraging Reconfigurability to Raise Productivity in FPGA Functional Debug Leveraging Reconfigurability to Raise Productivity in FPGA Functional Debug Abstract We propose new hardware and software techniques for FPGA functional debug that leverage the inherent reconfigurability

More information

Introduction Actel Logic Modules Xilinx LCA Altera FLEX, Altera MAX Power Dissipation

Introduction Actel Logic Modules Xilinx LCA Altera FLEX, Altera MAX Power Dissipation Outline CPE 528: Session #12 Department of Electrical and Computer Engineering University of Alabama in Huntsville Introduction Actel Logic Modules Xilinx LCA Altera FLEX, Altera MAX Power Dissipation

More information

International Journal of Computer Trends and Technology (IJCTT) volume 24 Number 2 June 2015

International Journal of Computer Trends and Technology (IJCTT) volume 24 Number 2 June 2015 Power and Area analysis of Flip Flop using different s Neha Thapa 1, Dr. Rajesh Mehra 2 1 ME student, Department of E.C.E, NITTTR, Chandigarh, India 2 Associate Professor, Department of E.C.E, NITTTR,

More information

Design of a Low Power Four-Bit Binary Counter Using Enhancement Type Mosfet

Design of a Low Power Four-Bit Binary Counter Using Enhancement Type Mosfet Design of a Low Power Four-Bit Binary Counter Using Enhancement Type Mosfet Praween Sinha Department of Electronics & Communication Engineering Maharaja Agrasen Institute Of Technology, Rohini sector -22,

More information

DESIGN OF LOW POWER TEST PATTERN GENERATOR

DESIGN OF LOW POWER TEST PATTERN GENERATOR International Journal of Electronics, Communication & Instrumentation Engineering Research and Development (IJECIERD) ISSN(P): 2249-684X; ISSN(E): 2249-7951 Vol. 4, Issue 1, Feb 2014, 59-66 TJPRC Pvt.

More information

High Performance Carry Chains for FPGAs

High Performance Carry Chains for FPGAs High Performance Carry Chains for FPGAs Matthew M. Hosler Department of Electrical and Computer Engineering Northwestern University Abstract Carry chains are an important consideration for most computations,

More information

LOW-POWER CLOCK DISTRIBUTION IN EDGE TRIGGERED FLIP-FLOP

LOW-POWER CLOCK DISTRIBUTION IN EDGE TRIGGERED FLIP-FLOP LOW-POWER CLOCK DISTRIBUTION IN EDGE TRIGGERED FLIP-FLOP Rahul Yadav 1, Rahul Shrivastava 2, Vijay Yadav 3 1 M.Tech Scholar, 2 Asst. Prof., 3 Asst. Prof Department of Electronics and Communication Engineering,

More information

Parametric Optimization of Clocked Redundant Flip-Flop Using Transmission Gate

Parametric Optimization of Clocked Redundant Flip-Flop Using Transmission Gate Parametric Optimization of Clocked Redundant Flip-Flop Using Transmission Gate Sapna Sadhwani Student, Department of ECE Lakshmi Narain College of Technology Bhopal, India srsadhwani@gmail.comm Abstract

More information

Figure.1 Clock signal II. SYSTEM ANALYSIS

Figure.1 Clock signal II. SYSTEM ANALYSIS International Journal of Advances in Engineering, 2015, 1(4), 518-522 ISSN: 2394-9260 (printed version); ISSN: 2394-9279 (online version); url:http://www.ijae.in RESEARCH ARTICLE Multi bit Flip-Flop Grouping

More information

Dual-V DD and Input Reordering for Reduced Delay and Subthreshold Leakage in Pass Transistor Logic

Dual-V DD and Input Reordering for Reduced Delay and Subthreshold Leakage in Pass Transistor Logic Dual-V DD and Input Reordering for Reduced Delay and Subthreshold Leakage in Pass Transistor Logic Jeff Brantley and Sam Ridenour ECE 6332 Fall 21 University of Virginia @virginia.edu ABSTRACT

More information

A Novel Low-overhead Delay Testing Technique for Arbitrary Two-Pattern Test Application

A Novel Low-overhead Delay Testing Technique for Arbitrary Two-Pattern Test Application A Novel Low-overhead elay Testing Technique for Arbitrary Two-Pattern Test Application Swarup Bhunia, Hamid Mahmoodi, Arijit Raychowdhury, and Kaushik Roy School of Electrical and Computer Engineering,

More information

Modified Ultra-Low Power NAND Based Multiplexer and Flip-Flop

Modified Ultra-Low Power NAND Based Multiplexer and Flip-Flop IJSTE - International Journal of Science Technology & Engineering Volume 2 Issue 06 December 2015 ISSN (online): 2349-784X Modified Ultra-Low Power NAND Based Multiplexer and Flip-Flop Amit Saraswat Chanpreet

More information

AN OPTIMIZED IMPLEMENTATION OF MULTI- BIT FLIP-FLOP USING VERILOG

AN OPTIMIZED IMPLEMENTATION OF MULTI- BIT FLIP-FLOP USING VERILOG AN OPTIMIZED IMPLEMENTATION OF MULTI- BIT FLIP-FLOP USING VERILOG 1 V.GOUTHAM KUMAR, Pg Scholar In Vlsi, 2 A.M.GUNA SEKHAR, M.Tech, Associate. Professor, ECE Department, 1 gouthamkumar.vakkala@gmail.com,

More information

DESIGN OF DOUBLE PULSE TRIGGERED FLIP-FLOP BASED ON SIGNAL FEED THROUGH SCHEME

DESIGN OF DOUBLE PULSE TRIGGERED FLIP-FLOP BASED ON SIGNAL FEED THROUGH SCHEME Scientific Journal Impact Factor (SJIF): 1.711 e-issn: 2349-9745 p-issn: 2393-8161 International Journal of Modern Trends in Engineering and Research www.ijmter.com DESIGN OF DOUBLE PULSE TRIGGERED FLIP-FLOP

More information

Low Power Approach of Clock Gating in Synchronous System like FIFO: A Novel Clock Gating Approach and Comparative Analysis

Low Power Approach of Clock Gating in Synchronous System like FIFO: A Novel Clock Gating Approach and Comparative Analysis Low Power Approach of Clock Gating in Synchronous System like FIFO: A Novel Clock Gating Approach and Comparative Analysis Abstract- A new technique of clock is presented to reduce dynamic power consumption.

More information

Retiming Sequential Circuits for Low Power

Retiming Sequential Circuits for Low Power Retiming Sequential Circuits for Low Power José Monteiro, Srinivas Devadas Department of EECS MIT, Cambridge, MA Abhijit Ghosh Mitsubishi Electric Research Laboratories Sunnyvale, CA Abstract Switching

More information

Design of Low Power D-Flip Flop Using True Single Phase Clock (TSPC)

Design of Low Power D-Flip Flop Using True Single Phase Clock (TSPC) Design of Low Power D-Flip Flop Using True Single Phase Clock (TSPC) Swetha Kanchimani M.Tech (VLSI Design), Mrs.Syamala Kanchimani Associate Professor, Miss.Godugu Uma Madhuri Assistant Professor, ABSTRACT:

More information

Novel Low Power and Low Transistor Count Flip-Flop Design with. High Performance

Novel Low Power and Low Transistor Count Flip-Flop Design with. High Performance Novel Low Power and Low Transistor Count Flip-Flop Design with High Performance Imran Ahmed Khan*, Dr. Mirza Tariq Beg Department of Electronics and Communication, Jamia Millia Islamia, New Delhi, India

More information

New Single Edge Triggered Flip-Flop Design with Improved Power and Power Delay Product for Low Data Activity Applications

New Single Edge Triggered Flip-Flop Design with Improved Power and Power Delay Product for Low Data Activity Applications American-Eurasian Journal of Scientific Research 8 (1): 31-37, 013 ISSN 1818-6785 IDOSI Publications, 013 DOI: 10.589/idosi.aejsr.013.8.1.8366 New Single Edge Triggered Flip-Flop Design with Improved Power

More information

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits

VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits VLSI Technology used in Auto-Scan Delay Testing Design For Bench Mark Circuits N.Brindha, A.Kaleel Rahuman ABSTRACT: Auto scan, a design for testability (DFT) technique for synchronous sequential circuits.

More information

CAD Tool Flow for Variation-Tolerant Non-Volatile STT-MRAM LUT based FPGA

CAD Tool Flow for Variation-Tolerant Non-Volatile STT-MRAM LUT based FPGA CAD Tool Flow for Variation-Tolerant Non-Volatile STT-MRAM LUT based FPGA Jeongbin Kim +822-2123-7826 xtankx123@yonsei.ac.kr Ki Tae Kim +822-2123-7826 ktkim1116@yonsei.ac.kr Eui-Young Chung +822-2123-5866

More information

POWER OPTIMIZED CLOCK GATED ALU FOR LOW POWER PROCESSOR DESIGN

POWER OPTIMIZED CLOCK GATED ALU FOR LOW POWER PROCESSOR DESIGN POWER OPTIMIZED CLOCK GATED ALU FOR LOW POWER PROCESSOR DESIGN 1 L.RAJA, 2 Dr.K.THANUSHKODI 1 Prof., Department of Electronics and Communication Engineeering, Angel College of Engineering and Technology,

More information

Comparative study on low-power high-performance standard-cell flip-flops

Comparative study on low-power high-performance standard-cell flip-flops Comparative study on low-power high-performance standard-cell flip-flops S. Tahmasbi Oskuii, A. Alvandpour Electronic Devices, Linköping University, Linköping, Sweden ABSTRACT This paper explores the energy-delay

More information

Asynchronous Model of Flip-Flop s and Latches for Low Power Clocking

Asynchronous Model of Flip-Flop s and Latches for Low Power Clocking Asynchronous Model of Flip-Flop s and Latches for Low Power Clocking G.Abhinaya Raja & P.Srinivas Department Of Electronics & Comm. Engineering, Nimra College of Engineering & Technology, Ibrahimpatnam,

More information

LUT Optimization for Memory Based Computation using Modified OMS Technique

LUT Optimization for Memory Based Computation using Modified OMS Technique LUT Optimization for Memory Based Computation using Modified OMS Technique Indrajit Shankar Acharya & Ruhan Bevi Dept. of ECE, SRM University, Chennai, India E-mail : indrajitac123@gmail.com, ruhanmady@yahoo.co.in

More information

Low-Power Decimation Filter for 2.5 GHz Operation in Standard-Cell Implementation

Low-Power Decimation Filter for 2.5 GHz Operation in Standard-Cell Implementation Low-Power Decimation Filter for 2.5 GHz Operation in Standard-Cell Implementation Manfred Ley, Oleksandr Melnychenko Abstract A low-power decimation filter for very high-speed over-sampling analog to digital

More information

Combinational vs Sequential

Combinational vs Sequential Combinational vs Sequential inputs X Combinational Circuits outputs Z A combinational circuit: At any time, outputs depends only on inputs Changing inputs changes outputs No regard for previous inputs

More information

An FPGA Implementation of Shift Register Using Pulsed Latches

An FPGA Implementation of Shift Register Using Pulsed Latches An FPGA Implementation of Shift Register Using Pulsed Latches Shiny Panimalar.S, T.Nisha Priscilla, Associate Professor, Department of ECE, MAMCET, Tiruchirappalli, India PG Scholar, Department of ECE,

More information

Novel Design of Static Dual-Edge Triggered (DET) Flip-Flops using Multiple C-Elements

Novel Design of Static Dual-Edge Triggered (DET) Flip-Flops using Multiple C-Elements Available online at: http://www.ijmtst.com/ncceeses2017.html Special Issue from 2 nd National Conference on Computing, Electrical, Electronics and Sustainable Energy Systems, 6 th 7 th July 2017, Rajahmundry,

More information

COE328 Course Outline. Fall 2007

COE328 Course Outline. Fall 2007 COE28 Course Outline Fall 2007 1 Objectives This course covers the basics of digital logic circuits and design. Through the basic understanding of Boolean algebra and number systems it introduces the student

More information

Improve Performance of Low-Power Clock Branch Sharing Double-Edge Triggered Flip-Flop

Improve Performance of Low-Power Clock Branch Sharing Double-Edge Triggered Flip-Flop Sumant Kumar et al. 2016, Volume 4 Issue 1 ISSN (Online): 2348-4098 ISSN (Print): 2395-4752 International Journal of Science, Engineering and Technology An Open Access Journal Improve Performance of Low-Power

More information

Use of Low Power DET Address Pointer Circuit for FIFO Memory Design

Use of Low Power DET Address Pointer Circuit for FIFO Memory Design International Journal of Education and Science Research Review Use of Low Power DET Address Pointer Circuit for FIFO Memory Design Harpreet M.Tech Scholar PPIMT Hisar Supriya Bhutani Assistant Professor

More information

Sharif University of Technology. SoC: Introduction

Sharif University of Technology. SoC: Introduction SoC Design Lecture 1: Introduction Shaahin Hessabi Department of Computer Engineering System-on-Chip System: a set of related parts that act as a whole to achieve a given goal. A system is a set of interacting

More information

Clock Tree Power Optimization of Three Dimensional VLSI System with Network

Clock Tree Power Optimization of Three Dimensional VLSI System with Network Clock Tree Power Optimization of Three Dimensional VLSI System with Network M.Saranya 1, S.Mahalakshmi 2, P.Saranya Devi 3 PG Student, Dept. of ECE, Syed Ammal Engineering College, Ramanathapuram, Tamilnadu,

More information

Static Timing Analysis for Nanometer Designs

Static Timing Analysis for Nanometer Designs J. Bhasker Rakesh Chadha Static Timing Analysis for Nanometer Designs A Practical Approach 4y Spri ringer Contents Preface xv CHAPTER 1: Introduction / 1.1 Nanometer Designs 1 1.2 What is Static Timing

More information

March 13, :36 vra80334_appe Sheet number 1 Page number 893 black. appendix. Commercial Devices

March 13, :36 vra80334_appe Sheet number 1 Page number 893 black. appendix. Commercial Devices March 13, 2007 14:36 vra80334_appe Sheet number 1 Page number 893 black appendix E Commercial Devices In Chapter 3 we described the three main types of programmable logic devices (PLDs): simple PLDs, complex

More information

High Performance Dynamic Hybrid Flip-Flop For Pipeline Stages with Methodical Implanted Logic

High Performance Dynamic Hybrid Flip-Flop For Pipeline Stages with Methodical Implanted Logic High Performance Dynamic Hybrid Flip-Flop For Pipeline Stages with Methodical Implanted Logic K.Vajida Tabasum, K.Chandra Shekhar Abstract-In this paper we introduce a new high performance dynamic hybrid

More information

Energy Recovery Clocking Scheme and Flip-Flops for Ultra Low-Energy Applications

Energy Recovery Clocking Scheme and Flip-Flops for Ultra Low-Energy Applications Energy Recovery Clocking Scheme and Flip-Flops for Ultra Low-Energy Applications Matthew Cooke, Hamid Mahmoodi-Meimand, Kaushik Roy School of Electrical and Computer Engineering, Purdue University, West

More information

Reduction of Clock Power in Sequential Circuits Using Multi-Bit Flip-Flops

Reduction of Clock Power in Sequential Circuits Using Multi-Bit Flip-Flops Reduction of Clock Power in Sequential Circuits Using Multi-Bit Flip-Flops A.Abinaya *1 and V.Priya #2 * M.E VLSI Design, ECE Dept, M.Kumarasamy College of Engineering, Karur, Tamilnadu, India # M.E VLSI

More information

AN EFFICIENT DOUBLE EDGE TRIGGERING FLIP FLOP (MDETFF)

AN EFFICIENT DOUBLE EDGE TRIGGERING FLIP FLOP (MDETFF) AN EFFICIENT DOUBLE EDGE TRIGGERING FLIP FLOP (MDETFF) S.Santhoshkumar, L.Saranya 2 (UG Scholar, Dept.of.ECE, Christ the king Engineering college, Tamilnadu, India, santhosh29ece@gmail.com) 2 (Asst. Professor,

More information

Implementation and Analysis of Area Efficient Architectures for CSLA by using CLA

Implementation and Analysis of Area Efficient Architectures for CSLA by using CLA Volume-6, Issue-3, May-June 2016 International Journal of Engineering and Management Research Page Number: 753-757 Implementation and Analysis of Area Efficient Architectures for CSLA by using CLA Anshu

More information

Performance Evolution of 16 Bit Processor in FPGA using State Encoding Techniques

Performance Evolution of 16 Bit Processor in FPGA using State Encoding Techniques Performance Evolution of 16 Bit Processor in FPGA using State Encoding Techniques Madhavi Anupoju 1, M. Sunil Prakash 2 1 M.Tech (VLSI) Student, Department of Electronics & Communication Engineering, MVGR

More information