SoC Development and DFT Strategy in nano-scale Era

Size: px
Start display at page:

Download "SoC Development and DFT Strategy in nano-scale Era"

Transcription

1 SoC Development and DFT Strategy in nano-scale Era Woo-Hyun Paik ( 白佑鉉 ) paikwh@lge.com System IC Division LG Electronics

2 Outline System and SoC Trends Role of SoC SoC Design Challenges DFT Issues DFT Methodologies Variables to choose DFT Methods Preparation for Next Big Wave

3 System and SoC Trends System Trends Requirement for Chips Variety, Rapid Changes Small, Lite, Multi-function, Network Multimedia Convergence Standardization of core technology Digital Hardware & Software Integration One Chip solution for Core Function Integration for convergence & Various Interface System On Chip (SoC) with Embedded CPU & DRAM

4 Role of SoC PDP LCD OLED Digital Contents DTV Control Network Data Network A/V(Entertainment) Network New Materials Computer Microprocessor, Microcontroller Telecommunications CDMA, IMT2000, 4G, 4G, OFDM FPD Smart Card 3D graphics Information Terminal PC Mobile Handset PDA Smart Phone Portable Storage Memory Stick SD Card Compact Flash USB * Embedded SW SoC Home Network Application Area Network ATM, ATM, WLAN, Bluetooth GPS GPS 3D 3D accelerator, Virtual Reality Multimedia HDTV, DVD DVD MP3, MP3, Digital Camcorder /Camera

5 SoC Design Challenges Complexity, Difficulty, Design Cycle, Cost IBS Jan 2002, ITRS 2001 Report

6 SoC Design Challenges

7 SoC Design Challenges Concentration & Cooperation is very important for successful SoC development EDA Partnership Design / IP Partner Outsourcing System Company Foundry Partnership SoC Design Methodology

8 SoC Design Challenges SoC at LGE 1. A/V decoder solutions ATSC/DVB HD/OpenCable/ ARIB/ DirecTV Dual HDTV signal Decoding DVD Decoding, MPEG4, H.264 Dolby AC-3/DTS decoder Embedded CPU(ARM) USB2.0,IEE1394 interfaces

9 SoC Design Challenges SoC at LGE 2. VSB/QAM demodulation IC Digital Terrestrial/ Cable Ready TV/ Open Cable ATSC compliant 8/16 VSB receiver ITU-T J.83 Annex B compliant 64/256 QAM receiver Long Ghost Cancellation Range : 55us Embedded memory 10 bit ADC Integrates LGDT3302(VSB/QAM) Adopted at major companies DTVs Used at LGE products also VSB NIM module

10 Outline System and SoC Trends Role of SoC SoC Design Challenges DFT Issues DFT Methodologies at LGE Variables to choose DFT Methods Preparation for Next Big Wave

11 DFT Issues Process Issue : Test Escapes Single stuck-at faults(ssf) model Cover many physical defects Does not verify circuit timing In recent study, fully two-thirds of defects found were missed by a SSF simulation that had 100% fault coverage In DSM environments Open and shorts tend to be resistive rather than hard Speed related failures are increasing Transition/gate delay/path delay fault model IEEE DELTA 02, Delay faults have been identified as the cause of most test escapes in LGE s 4 million gates, 108MHz multimedia ICs Soft defects are increasing Tight noise margin and low stability Open faults will be dominant fault model in the nano-era

12 DFT Issues Process Issue : Stuck-open Faults in DSM Timing failure About 5.6 % of the passed Circuit delays in the DSM domain cannot be modeled discrete values Frequency of bridging faults and open vias is increasing due to Cu process (Dual damascene*/si/noise) Resistive short/open, resistive bridging defects require at-speed test Because Copper does not form a volatile by-product, it is very difficult to etch, and therefore Copper metallization schemes cannot be realized using the traditional subtractive etching approach used to form Aluminum metal lines. The Dual Damascene technique overcomes this problem by etching a columnar hole, followed by a trench etch into the inter-layer dielectric (ILD), and then filling both structures with Copper which is subsequently polished back (using Chemical Mechanical Polishing (CMP)) to the surface of the ILD. The result is a vertical Copper via connection and an inlaid copper metal line.

13 DFT Issues SoC Issue : Test Difficult Challenges

14 DFT Issues Cost Issues I Die area Rule of thumb Spend 5% - 10% die area on DFT Larger dies imply less revenue/profit Lower yield for a given defect density Fewer die per wafer = less revenue/profit from a given FAB. Power & di/dt In mission mode, portions of chip are powered down Large clock sub-trees & blocks of logic don t toggle Test modes may consume more power In scan mode, more state toggles during shifting At-speed BIST even worse Burn-in tests accelerate infant mortality failures More expensive power supplies & cooling solutions required

15 DFT Issues Cost Issues II Application Time ATE Scan shifting takes a long time 100K scan cells shifted at 100MHz takes 1 ms 5000 shifts (ATPG output for stuck-at faults) takes 5 seconds Memory testing takes a long time Combination of memory test patterns takes time 30n Applied to a 32K cache takes ~1M cycles Apply patterns at 10MHz, test time is 100 ms Repeat for 20 embedded memories, total time is 2 seconds Add I/O tests, boundary scan tests, functional tests, delay tests NRE (Nonrecurring expenditure) cost ITRS (International Technology Roadmap for Semiconductor) ATE cost per pin will be constant : Design cost per pin will decline Chip speeds have improved at 30% per year, ATE accuracy has improved at 12% per year ATE is most expensive piece of equipment in the FAB

16 DFT Methodologies at LGE Big Iron functional testers Not used in LG since it is useful for CPU/MCU developing companies. Digital test Scan is mandatory Logic BIST/At-speed scan/test compress/ate I/O test Boundary scan(std.ieee1149.1) is Mandatory ATE Board tester supporting JTAG is used at system level Memory test Memory Built-In Self Test Mandatory for embedded SRAMs (ASIC, MCM) External MBIST is being prepared Mixed signal test ATE

17 DFT Methodologies at LGE Traditional Scan Easy to implement Designers can not feel a burden Simple coverage matrix Single stuck-at fault coverage Disadvantages High test cost Yield is not quality of chip High defect level (test escape) : thousand ppm At-speed Scan Designers/managers may welcome They don t have to change their DFT scheme dramatically Disadvantages High test cost High-end ATE is essential Not easy for multi-clock designs What is test clock? At-speed scan test flow was completely setup Being used for mass product chip

18 DFT Methodologies at LGE Test Compress Test data volume and test time reduction Test pattern reduction using lossless coding such as run-length code It is not considered Test pattern reduction using scan chain splitting internally It is applicable now

19 DFT Methodologies at LGE Logic BIST At-speed test becomes inevitable Gap between Chip tech. & ATE tech. It takes years to bring a new tester to market using yesterday s architectures and pin electronics tech. Tester will be obsolete before it comes to the marketplace Lots of focus on DFT testers (or DFT-focused on test system) The only place to control the eventual cost of test During chip design phase New breed of guys called DFT engineers Foundries will dictate the cost of test based on the testability of the design LG already has know-how to implement hierarchical LBIST for over 5M gates chip

20 DFT Methodologies at LGE Logic BIST Arguments against the LBIST Memory BIST is mature and well accepted At-speed, external memory testing, scheduling, DRAM testing Logic BIST is still in the early stages There are a few flow and technology issues to resolve Investments The person, skill, methodology and flow changes required to deploy logic BIST Logic BIST eliminates external stored patterns, opens the door to system test, board component diagnosis and IC manufacturing test And partner BIST technology with the new generation of low-cost ATE Silicon area Silicon is money BUT, IO and ATE is more expensive Area overhead argument is specious today

21 Variable to choose DFT Methods Quality vs. Speed Low-speed scan : 1260 PPM At-speed scan : 540PPM Center for Reliable Computing Stanford Univ.

22 Variable to choose DFT Methods Chip 1 : # of FFs is 92,550 Max. scan chain length : 14,102 # of deterministic vector : 641 WGL : 196 5M cycles Chip 2 : # of FFs is about 176,103 WGL : M cycles Test Vector Volume ATPG requires more ATE memory to support at-speed test Transition fault model Double-capture Need for sequential ATPG, which is not only much more CPU intensive than combinational ATPG, but typically results in an unacceptably large number of test patterns Test compress EDA vendor said it is possible to decrease the volume by 10X ~ 100X In our experience, 5X ~ 20X is possible for multi-clock design

23 Variable to choose DFT Methods Test Time Test Time = # of vector * Max. scan chain * Operation frequency Scan testing Max. scan chain length is dependent on the available ATE Test compress The length of max. scan chain length is configurable LBIST # of vector may be 32K or 64K (configurable) Operation frequency is same as that of functional mode The length of max. scan chain length is configurable

24 Preparation for Next Big Wave High Speed Device Interface High frequency and high pin count test socket High speed serial interface requires high speed source/capture and jitter analysis. FDT/DFM techniques must be developed. Highly Integrated Design Structure and specific DFT for embedded specialized cores. Analog DFT and BIST techniques to simplify test interface. RF and audio circuit embedded in large noisy digital blocks. Test reuse for reusable cores in complex designs. Reliability Screens Limited existing methodologies: burn-in vs. thermal runaway, IDDq vs. large background current. Identify novel infant mortality defect stress conditions. Manufacturing Test Cost Cost reduction: massively parallel, wafer level test, wafer level burn in. Through DFT to reduce test pin count and time and equipment reuse. Test standards to enable test content reuse and manufacturing agility.

25 Conclusion What are the key factors for successful SoC? Concrete design methodologies Right strategies for development target Proper development and business model What is the best DFT solution? Priority is the most important criterion 1 st priority is the test quality for the chips using DSM process at LGE Proper DFT method is selected according to the in-house DFT policy There is no best DFT solution, but we have to prepare various methodologies to cope with the future

Scan. This is a sample of the first 15 pages of the Scan chapter.

Scan. This is a sample of the first 15 pages of the Scan chapter. Scan This is a sample of the first 15 pages of the Scan chapter. Note: The book is NOT Pinted in color. Objectives: This section provides: An overview of Scan An introduction to Test Sequences and Test

More information

for Digital IC's Design-for-Test and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ

for Digital IC's Design-for-Test and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ Design-for-Test for Digital IC's and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ 07458 www.phptr.com ISBN D-13-DflMfla7-l : Ml H Contents Preface Acknowledgments Introduction

More information

This Chapter describes the concepts of scan based testing, issues in testing, need

This Chapter describes the concepts of scan based testing, issues in testing, need Chapter 2 AT-SPEED TESTING AND LOGIC BUILT IN SELF TEST 2.1 Introduction This Chapter describes the concepts of scan based testing, issues in testing, need for logic BIST and trends in VLSI testing. Scan

More information

Lecture 18 Design For Test (DFT)

Lecture 18 Design For Test (DFT) Lecture 18 Design For Test (DFT) Xuan Silvia Zhang Washington University in St. Louis http://classes.engineering.wustl.edu/ese461/ ASIC Test Two Stages Wafer test, one die at a time, using probe card production

More information

Design for Testability

Design for Testability TDTS 01 Lecture 9 Design for Testability Zebo Peng Embedded Systems Laboratory IDA, Linköping University Lecture 9 The test problems Fault modeling Design for testability techniques Zebo Peng, IDA, LiTH

More information

At-speed testing made easy

At-speed testing made easy At-speed testing made easy By Bruce Swanson and Michelle Lange, EEdesign.com Jun 03, 2004 (5:00 PM EDT) URL: http://www.eedesign.com/article/showarticle.jhtml?articleid=21401421 Today's chip designs are

More information

Using on-chip Test Pattern Compression for Full Scan SoC Designs

Using on-chip Test Pattern Compression for Full Scan SoC Designs Using on-chip Test Pattern Compression for Full Scan SoC Designs Helmut Lang Senior Staff Engineer Jens Pfeiffer CAD Engineer Jeff Maguire Principal Staff Engineer Motorola SPS, System-on-a-Chip Design

More information

Testing Digital Systems II

Testing Digital Systems II Testing Digital Systems II Lecture 2: Design for Testability (I) structor: M. Tahoori Copyright 2010, M. Tahoori TDS II: Lecture 2 1 History During early years, design and test were separate The final

More information

VirtualScan TM An Application Story

VirtualScan TM An Application Story Test Data Compaction Tool from SynTest TM VirtualScan TM An Application Story January 29, 2004 Hiroshi Furukawa SoC No. 3 Group, SoC Development Division 1 Agenda Current Problems What is VirtualScan?

More information

Sharif University of Technology. SoC: Introduction

Sharif University of Technology. SoC: Introduction SoC Design Lecture 1: Introduction Shaahin Hessabi Department of Computer Engineering System-on-Chip System: a set of related parts that act as a whole to achieve a given goal. A system is a set of interacting

More information

Overview: Logic BIST

Overview: Logic BIST VLSI Design Verification and Testing Built-In Self-Test (BIST) - 2 Mohammad Tehranipoor Electrical and Computer Engineering University of Connecticut 23 April 2007 1 Overview: Logic BIST Motivation Built-in

More information

SEMICONDUCTOR TECHNOLOGY -CMOS-

SEMICONDUCTOR TECHNOLOGY -CMOS- SEMICONDUCTOR TECHNOLOGY -CMOS- Fire Tom Wada What is semiconductor and LSIs Huge number of transistors can be integrated in a small Si chip. The size of the chip is roughly the size of nails. Currently,

More information

At-speed Testing of SOC ICs

At-speed Testing of SOC ICs At-speed Testing of SOC ICs Vlado Vorisek, Thomas Koch, Hermann Fischer Multimedia Design Center, Semiconductor Products Sector Motorola Munich, Germany Abstract This paper discusses the aspects and associated

More information

Future of Analog Design and Upcoming Challenges in Nanometer CMOS

Future of Analog Design and Upcoming Challenges in Nanometer CMOS Future of Analog Design and Upcoming Challenges in Nanometer CMOS Greg Taylor VLSI Design 2010 Outline Introduction Logic processing trends Analog design trends Analog design challenge Approaches Conclusion

More information

SoC IC Basics. COE838: Systems on Chip Design

SoC IC Basics. COE838: Systems on Chip Design SoC IC Basics COE838: Systems on Chip Design http://www.ee.ryerson.ca/~courses/coe838/ Dr. Gul N. Khan http://www.ee.ryerson.ca/~gnkhan Electrical and Computer Engineering Ryerson University Overview SoC

More information

SEMICONDUCTOR TECHNOLOGY -CMOS-

SEMICONDUCTOR TECHNOLOGY -CMOS- SEMICONDUCTOR TECHNOLOGY -CMOS- Fire Tom Wada 2011/12/19 1 What is semiconductor and LSIs Huge number of transistors can be integrated in a small Si chip. The size of the chip is roughly the size of nails.

More information

Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory. National Central University

Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory. National Central University Chapter 3 Basics of VLSI Testing (2) Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory Department of Electrical Engineering National Central University Jhongli, Taiwan Outline Testing Process Fault

More information

Design of Fault Coverage Test Pattern Generator Using LFSR

Design of Fault Coverage Test Pattern Generator Using LFSR Design of Fault Coverage Test Pattern Generator Using LFSR B.Saritha M.Tech Student, Department of ECE, Dhruva Institue of Engineering & Technology. Abstract: A new fault coverage test pattern generator

More information

Powerful Software Tools and Methods to Accelerate Test Program Development A Test Systems Strategies, Inc. (TSSI) White Paper.

Powerful Software Tools and Methods to Accelerate Test Program Development A Test Systems Strategies, Inc. (TSSI) White Paper. Powerful Software Tools and Methods to Accelerate Test Program Development A Test Systems Strategies, Inc. (TSSI) White Paper Abstract Test costs have now risen to as much as 50 percent of the total manufacturing

More information

TKK S ASIC-PIIRIEN SUUNNITTELU

TKK S ASIC-PIIRIEN SUUNNITTELU Design TKK S-88.134 ASIC-PIIRIEN SUUNNITTELU Design Flow 3.2.2005 RTL Design 10.2.2005 Implementation 7.4.2005 Contents 1. Terminology 2. RTL to Parts flow 3. Logic synthesis 4. Static Timing Analysis

More information

CMOS Testing-2. Design for testability (DFT) Design and Test Flow: Old View Test was merely an afterthought. Specification. Design errors.

CMOS Testing-2. Design for testability (DFT) Design and Test Flow: Old View Test was merely an afterthought. Specification. Design errors. Design and test CMOS Testing- Design for testability (DFT) Scan design Built-in self-test IDDQ testing ECE 261 Krish Chakrabarty 1 Design and Test Flow: Old View Test was merely an afterthought Specification

More information

Benchtop Portability with ATE Performance

Benchtop Portability with ATE Performance Benchtop Portability with ATE Performance Features: Configurable for simultaneous test of multiple connectivity standard Air cooled, 100 W power consumption 4 RF source and receive ports supporting up

More information

A Briefing on IEEE Standard Test Access Port And Boundary-Scan Architecture ( AKA JTAG )

A Briefing on IEEE Standard Test Access Port And Boundary-Scan Architecture ( AKA JTAG ) A Briefing on IEEE 1149.1 1990 Standard Test Access Port And Boundary-Scan Architecture ( AKA JTAG ) Summary With the advent of large Ball Grid Array (BGA) and fine pitch SMD semiconductor devices the

More information

Analog Performance-based Self-Test Approaches for Mixed-Signal Circuits

Analog Performance-based Self-Test Approaches for Mixed-Signal Circuits Analog Performance-based Self-Test Approaches for Mixed-Signal Circuits Tutorial, September 1, 2015 Byoungho Kim, Ph.D. Division of Electrical Engineering Hanyang University Outline State of the Art for

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET476) Lecture 9 (2) Built-In-Self Test (Chapter 5) Said Hamdioui Computer Engineering Lab Delft University of Technology 29-2 Learning aims Describe the concept and

More information

Design for Test. Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective.

Design for Test. Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective. Design for Test Definition: Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective. Types: Design for Testability Enhanced access Built-In

More information

3D IC Test through Power Line Methodology. Alberto Pagani

3D IC Test through Power Line Methodology. Alberto Pagani 3D IC Test through Power Line Methodology Alberto Pagani Outline 2 Power Line Communication (PLC) approach 2D Test architecture through PLC Advantages Methodology Feasibility Study Rx test chip for digital

More information

An Experiment to Compare AC Scan and At-Speed Functional Testing

An Experiment to Compare AC Scan and At-Speed Functional Testing An Experiment to Compare AC Scan and At-Speed Functional Testing Peter Maxwell, Ismed Hartanto and Lee Bentz Integrated Circuit Business Division Agilent Technologies ABSTRACT This paper describes an experimental

More information

Testability: Lecture 23 Design for Testability (DFT) Slide 1 of 43

Testability: Lecture 23 Design for Testability (DFT) Slide 1 of 43 Testability: Lecture 23 Design for Testability (DFT) Shaahin hi Hessabi Department of Computer Engineering Sharif University of Technology Adapted, with modifications, from lecture notes prepared p by

More information

Comparing Functional and Structural Tests

Comparing Functional and Structural Tests Comparing Functional and Structural Tests Peter Maxwell, Ismed Hartanto and Lee Bentz Imaging Electronics Division Agilent Technologies ABSTRACT This paper describes an experimental study to understand

More information

A video signal processor for motioncompensated field-rate upconversion in consumer television

A video signal processor for motioncompensated field-rate upconversion in consumer television A video signal processor for motioncompensated field-rate upconversion in consumer television B. De Loore, P. Lippens, P. Eeckhout, H. Huijgen, A. Löning, B. McSweeney, M. Verstraelen, B. Pham, G. de Haan,

More information

UNIT IV CMOS TESTING. EC2354_Unit IV 1

UNIT IV CMOS TESTING. EC2354_Unit IV 1 UNIT IV CMOS TESTING EC2354_Unit IV 1 Outline Testing Logic Verification Silicon Debug Manufacturing Test Fault Models Observability and Controllability Design for Test Scan BIST Boundary Scan EC2354_Unit

More information

VLSI System Testing. BIST Motivation

VLSI System Testing. BIST Motivation ECE 538 VLSI System Testing Krish Chakrabarty Built-In Self-Test (BIST): ECE 538 Krish Chakrabarty BIST Motivation Useful for field test and diagnosis (less expensive than a local automatic test equipment)

More information

Saving time & money with JTAG

Saving time & money with JTAG Saving time & money with JTAG AltiumLive 2017: ANNUAL PCB DESIGN SUMMIT Simon Payne CEO, XJTAG Ltd. Saving time and money with JTAG JTAG / IEEE 1149.X Take-away points Get JTAG right from the start Use

More information

Testing Digital Systems II

Testing Digital Systems II Testing Digital Systems II Lecture 5: Built-in Self Test (I) Instructor: M. Tahoori Copyright 2010, M. Tahoori TDS II: Lecture 5 1 Outline Introduction (Lecture 5) Test Pattern Generation (Lecture 5) Pseudo-Random

More information

System IC Design: Timing Issues and DFT. Hung-Chih Chiang

System IC Design: Timing Issues and DFT. Hung-Chih Chiang System IC esign: Timing Issues and FT Hung-Chih Chiang Outline SoC Timing Issues Timing terminologies Synchronous vs. asynchronous design Interfaces and timing closure Clocking issues Reset esign for Testability

More information

Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test

Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test Mark McDermott Electrical and Computer Engineering The University of Texas at Austin Agenda Introduction to testing Logical

More information

Through Silicon Via Testing Known Good Die (KGD) or Probably Good Die (PGD) Doug Lefever Advantest

Through Silicon Via Testing Known Good Die (KGD) or Probably Good Die (PGD) Doug Lefever Advantest Through Silicon Via Testing Known Good Die (KGD) or Probably Good Die (PGD) Doug Lefever Advantest Single Die Fab Yield will drive Cost Equation. Yield of the device to be stacked 100% 90% 80% Yield of

More information

Simulation Mismatches Can Foul Up Test-Pattern Verification

Simulation Mismatches Can Foul Up Test-Pattern Verification 1 of 5 12/17/2009 2:59 PM Technologies Design Hotspots Resources Shows Magazine ebooks & Whitepapers Jobs More... Click to view this week's ad screen [ D e s i g n V i e w / D e s i g n S o lu ti o n ]

More information

High-Frequency, At-Speed Scan Testing

High-Frequency, At-Speed Scan Testing High-Frequency, At-Speed Scan Testing Xijiang Lin, Ron Press, Janusz Rajski, Paul Reuter, Thomas Rinderknecht, Bruce Swanson, and Nagesh Tamarapalli Mentor Graphics Editor s note: At-speed scan testing

More information

nmos transistor Basics of VLSI Design and Test Solution: CMOS pmos transistor CMOS Inverter First-Order DC Analysis CMOS Inverter: Transient Response

nmos transistor Basics of VLSI Design and Test Solution: CMOS pmos transistor CMOS Inverter First-Order DC Analysis CMOS Inverter: Transient Response nmos transistor asics of VLSI Design and Test If the gate is high, the switch is on If the gate is low, the switch is off Mohammad Tehranipoor Drain ECE495/695: Introduction to Hardware Security & Trust

More information

Page 1 of 6 Follow these guidelines to design testable ASICs, boards, and systems. (includes related article on automatic testpattern generation basics) (Tutorial) From: EDN Date: August 19, 1993 Author:

More information

Verification Methodology for a Complex System-on-a-Chip

Verification Methodology for a Complex System-on-a-Chip UDC 621.3.049.771.14.001.63 Verification Methodology for a Complex System-on-a-Chip VAkihiro Higashi VKazuhide Tamaki VTakayuki Sasaki (Manuscript received December 1, 1999) Semiconductor technology has

More information

DESIGN OF RANDOM TESTING CIRCUIT BASED ON LFSR FOR THE EXTERNAL MEMORY INTERFACE

DESIGN OF RANDOM TESTING CIRCUIT BASED ON LFSR FOR THE EXTERNAL MEMORY INTERFACE DESIGN OF RANDOM TESTING CIRCUIT BASED ON LFSR FOR THE EXTERNAL MEMORY INTERFACE Mohammed Gazi.J 1, Abdul Mubeen Mohammed 2 1 M.Tech. 2 BE, MS(IT), AMISTE ABSTRACT In the design of a SOC system, random

More information

Lecture 23 Design for Testability (DFT): Full-Scan

Lecture 23 Design for Testability (DFT): Full-Scan Lecture 23 Design for Testability (DFT): Full-Scan (Lecture 19alt in the Alternative Sequence) Definition Ad-hoc methods Scan design Design rules Scan register Scan flip-flops Scan test sequences Overheads

More information

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL Random Access Scan Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL ramamve@auburn.edu Term Paper for ELEC 7250 (Spring 2005) Abstract: Random Access

More information

Unit 8: Testability. Prof. Roopa Kulkarni, GIT, Belgaum. 29

Unit 8: Testability. Prof. Roopa Kulkarni, GIT, Belgaum. 29 Unit 8: Testability Objective: At the end of this unit we will be able to understand Design for testability (DFT) DFT methods for digital circuits: Ad-hoc methods Structured methods: Scan Level Sensitive

More information

TOWARD A FOCUSED MARKET William Bricken September A variety of potential markets for the CoMesh product. TARGET MARKET APPLICATIONS

TOWARD A FOCUSED MARKET William Bricken September A variety of potential markets for the CoMesh product. TARGET MARKET APPLICATIONS TOWARD A FOCUSED MARKET William Bricken September 2002 A variety of potential markets for the CoMesh product. POTENTIAL TARGET MARKET APPLICATIONS set-top boxes direct broadcast reception signal encoding

More information

Introduction to JTAG / boundary scan-based testing for 3D integrated systems. (C) GOEPEL Electronics -

Introduction to JTAG / boundary scan-based testing for 3D integrated systems. (C) GOEPEL Electronics - Introduction to JTAG / boundary scan-based testing for 3D integrated systems (C) 2011 - GOEPEL Electronics - www.goepelusa.com Who is GOEPEL? World Headquarters: GÖPEL electronic GmbH Göschwitzer Straße

More information

Transforming Electronic Interconnect Breaking through historical boundaries Tim Olson Founder & CTO

Transforming Electronic Interconnect Breaking through historical boundaries Tim Olson Founder & CTO Transforming Electronic Interconnect Breaking through historical boundaries Tim Olson Founder & CTO Remember when? There were three distinct industries Wafer Foundries SATS EMS Semiconductor Devices Nanometers

More information

Logic Design for On-Chip Test Clock Generation- Implementation Details and Impact on Delay Test Quality

Logic Design for On-Chip Test Clock Generation- Implementation Details and Impact on Delay Test Quality Logic Design for On-Chip Test Clock Generation- mplementation Details and mpact on Delay Test Quality Beck, Olivier Barondeau, Martin Kaibel, Frank Poehl Technologies AG 73 81541Munich, Germany Xijiang

More information

Lecture 23 Design for Testability (DFT): Full-Scan (chapter14)

Lecture 23 Design for Testability (DFT): Full-Scan (chapter14) Lecture 23 Design for Testability (DFT): Full-Scan (chapter14) Definition Ad-hoc methods Scan design Design rules Scan register Scan flip-flops Scan test sequences Overheads Scan design system Summary

More information

ECE 715 System on Chip Design and Test. Lecture 22

ECE 715 System on Chip Design and Test. Lecture 22 ECE 75 System on Chip Design and Test Lecture 22 Response Compaction Severe amounts of data in CUT response to LFSR patterns example: Generate 5 million random patterns CUT has 2 outputs Leads to: 5 million

More information

March Test Compression Technique on Low Power Programmable Pseudo Random Test Pattern Generator

March Test Compression Technique on Low Power Programmable Pseudo Random Test Pattern Generator International Journal of Computational Intelligence Research ISSN 0973-1873 Volume 13, Number 6 (2017), pp. 1493-1498 Research India Publications http://www.ripublication.com March Test Compression Technique

More information

K.T. Tim Cheng 07_dft, v Testability

K.T. Tim Cheng 07_dft, v Testability K.T. Tim Cheng 07_dft, v1.0 1 Testability Is concept that deals with costs associated with testing. Increase testability of a circuit Some test cost is being reduced Test application time Test generation

More information

Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA

Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA Bit Swapping LFSR and its Application to Fault Detection and Diagnosis Using FPGA M.V.M.Lahari 1, M.Mani Kumari 2 1,2 Department of ECE, GVPCEOW,Visakhapatnam. Abstract The increasing growth of sub-micron

More information

High Performance Microprocessor Design and Automation: Overview, Challenges and Opportunities IBM Corporation

High Performance Microprocessor Design and Automation: Overview, Challenges and Opportunities IBM Corporation High Performance Microprocessor Design and Automation: Overview, Challenges and Opportunities Introduction About Myself What to expect out of this lecture Understand the current trend in the IC Design

More information

Built-In Self-Test (BIST) Abdil Rashid Mohamed, Embedded Systems Laboratory (ESLAB) Linköping University, Sweden

Built-In Self-Test (BIST) Abdil Rashid Mohamed, Embedded Systems Laboratory (ESLAB) Linköping University, Sweden Built-In Self-Test (BIST) Abdil Rashid Mohamed, abdmo@ida ida.liu.se Embedded Systems Laboratory (ESLAB) Linköping University, Sweden Introduction BIST --> Built-In Self Test BIST - part of the circuit

More information

Testing Sequential Logic. CPE/EE 428/528 VLSI Design II Intro to Testing (Part 2) Testing Sequential Logic (cont d) Testing Sequential Logic (cont d)

Testing Sequential Logic. CPE/EE 428/528 VLSI Design II Intro to Testing (Part 2) Testing Sequential Logic (cont d) Testing Sequential Logic (cont d) Testing Sequential Logic CPE/EE 428/528 VLSI Design II Intro to Testing (Part 2) Electrical and Computer Engineering University of Alabama in Huntsville In general, much more difficult than testing combinational

More information

Implementation of Scan Insertion and Compression for 28nm design Technology

Implementation of Scan Insertion and Compression for 28nm design Technology Implementation of Scan Insertion and Compression for 28nm design Technology 1 Mohan PVS, 2 Rajanna K.M 1 PG Student, Department of ECE, Dr. Ambedkar Institute of Technology, Bengaluru, India 2 Associate

More information

Instructions. Final Exam CPSC/ELEN 680 December 12, Name: UIN:

Instructions. Final Exam CPSC/ELEN 680 December 12, Name: UIN: Final Exam CPSC/ELEN 680 December 12, 2005 Name: UIN: Instructions This exam is closed book. Provide brief but complete answers to the following questions in the space provided, using figures as necessary.

More information

Semiconductors Displays Semiconductor Manufacturing and Inspection Equipment Scientific Instruments

Semiconductors Displays Semiconductor Manufacturing and Inspection Equipment Scientific Instruments Semiconductors Displays Semiconductor Manufacturing and Inspection Equipment Scientific Instruments Electronics 110-nm CMOS ASIC HDL4P Series with High-speed I/O Interfaces Hitachi has released the high-performance

More information

Chapter 8 Design for Testability

Chapter 8 Design for Testability 電機系 Chapter 8 Design for Testability 測試導向設計技術 2 Outline Introduction Ad-Hoc Approaches Full Scan Partial Scan 3 Design For Testability Definition Design For Testability (DFT) refers to those design techniques

More information

Technical Reference. ATSC TV A/D Controller FOR TFT LCD. Model : SKY-4100M. Copyright 2008 All Rights Reserved. REV.1.0_

Technical Reference. ATSC TV A/D Controller FOR TFT LCD. Model : SKY-4100M. Copyright 2008 All Rights Reserved. REV.1.0_ Technical Reference ATSC TV A/D Controller FOR TFT LCD Model : SKY-4100M Copyright 2008 All Rights Reserved REV.1.0_2008.06.05 Page 1/13 1. Description The SKY-4100 is an interface board for LCD/PDP panel

More information

New Directions in Manufacturing Test

New Directions in Manufacturing Test New Directions in Manufacturing Test Jacob A. Abraham Computer Engineering Research Center The University of Texas at Austin Shanghai Jiao Tong University July 19, 2005 July 19, 2005 1 Research Areas Manufacturing

More information

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab. Built-In Self Test 2

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab. Built-In Self Test 2 CMOS INTEGRATE CIRCUIT ESIGN TECHNIUES University of Ioannina Built In Self Test (BIST) ept. of Computer Science and Engineering Y. Tsiatouhas CMOS Integrated Circuit esign Techniques VLSI Systems and

More information

IMPLEMENTATION OF X-FACTOR CIRCUITRY IN DECOMPRESSOR ARCHITECTURE

IMPLEMENTATION OF X-FACTOR CIRCUITRY IN DECOMPRESSOR ARCHITECTURE IMPLEMENTATION OF X-FACTOR CIRCUITRY IN DECOMPRESSOR ARCHITECTURE SATHISHKUMAR.K #1, SARAVANAN.S #2, VIJAYSAI. R #3 School of Computing, M.Tech VLSI design, SASTRA University Thanjavur, Tamil Nadu, 613401,

More information

Innovative Fast Timing Design

Innovative Fast Timing Design Innovative Fast Timing Design Solution through Simultaneous Processing of Logic Synthesis and Placement A new design methodology is now available that offers the advantages of enhanced logical design efficiency

More information

Tools to Debug Dead Boards

Tools to Debug Dead Boards Tools to Debug Dead Boards Hardware Prototype Bring-up Ryan Jones Senior Application Engineer Corelis 1 Boundary-Scan Without Boundaries click to start the show Webinar Outline What is a Dead Board? Prototype

More information

the Boundary Scan perspective

the Boundary Scan perspective the Boundary Scan perspective Rik Doorneweert, JTAG Technologies rik@jtag.com www.jtag.com Subjects Economics of testing Test methods and strategy Boundary scan at: Component level Board level System level

More information

Slide Set 14. Design for Testability

Slide Set 14. Design for Testability Slide Set 14 Design for Testability Steve Wilton Dept. of ECE University of British Columbia stevew@ece.ubc.ca Slide Set 14, Page 1 Overview Wolf 4.8, 5.6, 5.7, 8.7 Up to this point in the class, we have

More information

Based on slides/material by. Topic 14. Testing. Testing. Logic Verification. Recommended Reading:

Based on slides/material by. Topic 14. Testing. Testing. Logic Verification. Recommended Reading: Based on slides/material by Topic 4 Testing Peter Y. K. Cheung Department of Electrical & Electronic Engineering Imperial College London!! K. Masselos http://cas.ee.ic.ac.uk/~kostas!! J. Rabaey http://bwrc.eecs.berkeley.edu/classes/icbook/instructors.html

More information

A Novel Low Power pattern Generation Technique for Concurrent Bist Architecture

A Novel Low Power pattern Generation Technique for Concurrent Bist Architecture A Novel Low Power pattern Generation Technique for Concurrent Bist Architecture Y. Balasubrahamanyam, G. Leenendra Chowdary, T.J.V.S.Subrahmanyam Research Scholar, Dept. of ECE, Sasi institute of Technology

More information

Final Exam CPSC/ECEN 680 May 2, Name: UIN:

Final Exam CPSC/ECEN 680 May 2, Name: UIN: Final Exam CPSC/ECEN 680 May 2, 2008 Name: UIN: Instructions This exam is closed book. Provide brief but complete answers to the following questions in the space provided, using figures as necessary. Show

More information

DTV/MPEG2 Test & Measurement DTV Signal Generator DSG500

DTV/MPEG2 Test & Measurement DTV Signal Generator DSG500 DTV/MPEG2 Test & Measurement DTV Signal Generator DSG500 Copyright 2007 DTVinteractive Co., Ltd. All rights reserved. DSG500 DTV Signal Generator General DSG500 is the all-in-one DTV signal generator supporting

More information

Semiconductor Devices. Microwave Application Products. Microwave Tubes and Radar Components

Semiconductor Devices. Microwave Application Products. Microwave Tubes and Radar Components Microwave Application Products Microwave Tubes and Radar Components Our semiconductor products are mostly analog semiconductors classified broadly into three groups: Bipolar ICs, MOS ICs, and Microwave

More information

Combinational vs Sequential

Combinational vs Sequential Combinational vs Sequential inputs X Combinational Circuits outputs Z A combinational circuit: At any time, outputs depends only on inputs Changing inputs changes outputs No regard for previous inputs

More information

Remote Diagnostics and Upgrades

Remote Diagnostics and Upgrades Remote Diagnostics and Upgrades Tim Pender -Eastman Kodak Company 10/03/03 About this Presentation Motivation for Remote Diagnostics Reduce Field Maintenance costs Product needed to support 100 JTAG chains

More information

Design for Testability Part II

Design for Testability Part II Design for Testability Part II 1 Partial-Scan Definition A subset of flip-flops is scanned. Objectives: Minimize area overhead and scan sequence length, yet achieve required fault coverage. Exclude selected

More information

EECS150 - Digital Design Lecture 2 - CMOS

EECS150 - Digital Design Lecture 2 - CMOS EECS150 - Digital Design Lecture 2 - CMOS January 23, 2003 John Wawrzynek Spring 2003 EECS150 - Lec02-CMOS Page 1 Outline Overview of Physical Implementations CMOS devices Announcements/Break CMOS transistor

More information

Design for test methods to reduce test set size

Design for test methods to reduce test set size University of Iowa Iowa Research Online Theses and Dissertations Summer 2018 Design for test methods to reduce test set size Yingdi Liu University of Iowa Copyright 2018 Yingdi Liu This dissertation is

More information

Virtual Divide and Conquer Scan Test Architecture for Multi-Clock Domain SoC

Virtual Divide and Conquer Scan Test Architecture for Multi-Clock Domain SoC Virtual Divide and Conquer Scan Test Architecture for Multi-Clock Domain SoC A Thesis Submitted For the Degree of Master of Science (Engineering) in the Faculty of Engineering by Senthil Arasu T Supercomputer

More information

Running scan test on three pins: yes we can!

Running scan test on three pins: yes we can! Running scan test on three pins: yes we can! Jocelyn Moreau, Thomas Droniou, Philippe Lebourg, Paul Armagnat STMicroelectronics, Imaging division 12, rue Jules Horowitz BP 217. F-38019 Grenoble Cedex,

More information

Cell-Aware Fault Analysis and Test Set Optimization in Digital Integrated Circuits

Cell-Aware Fault Analysis and Test Set Optimization in Digital Integrated Circuits Southern Methodist University SMU Scholar Computer Science and Engineering Theses and Dissertations Computer Science and Engineering Spring 5-19-2018 Cell-Aware Fault Analysis and Test Set Optimization

More information

DESIGN FOR TESTABILITY

DESIGN FOR TESTABILITY DESIGN FOR TESTABILITY Raimund Ubar raiub@pld.ttu.ee Design for Testability Lectures Testability of Digital Systems Design for Testability Methods BIST/BISD Practical Works Two laboratory works Course

More information

ECE 407 Computer Aided Design for Electronic Systems. Testing and Design for Testability. Instructor: Maria K. Michael. Overview

ECE 407 Computer Aided Design for Electronic Systems. Testing and Design for Testability. Instructor: Maria K. Michael. Overview 407 Computer Aided Design for Electronic Systems Testing and Design for Testability Instructor: Maria K. Michael MKM - 1 Overview VLSI realization process Role of testing, related cost Basic Digital VLSI

More information

Personal Mobile DTV Cellular Phone Terminal Developed for Digital Terrestrial Broadcasting With Internet Services

Personal Mobile DTV Cellular Phone Terminal Developed for Digital Terrestrial Broadcasting With Internet Services Personal Mobile DTV Cellular Phone Terminal Developed for Digital Terrestrial Broadcasting With Internet Services ATSUSHI KOIKE, SHUICHI MATSUMOTO, AND HIDEKI KOKUBUN Invited Paper Digital terrestrial

More information

Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques

Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques Akkala Suvarna Ratna M.Tech (VLSI & ES), Department of ECE, Sri Vani School of Engineering, Vijayawada. Abstract: A new

More information

ISSN (c) MIT Publications

ISSN (c) MIT Publications MIT International Journal of Electronics and Communication Engineering, Vol. 2, No. 2, Aug. 2012, pp. (83-88) 83 BIST- Built in Self Test A Testing Technique Alpana Singh MIT, Moradabad, UP, INDIA Email:

More information

Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction

Low Power Illinois Scan Architecture for Simultaneous Power and Test Data Volume Reduction Low Illinois Scan Architecture for Simultaneous and Test Data Volume Anshuman Chandra, Felix Ng and Rohit Kapur Synopsys, Inc., 7 E. Middlefield Rd., Mountain View, CA Abstract We present Low Illinois

More information

Unit V Design for Testability

Unit V Design for Testability Unit V Design for Testability Outline Testing Logic Verification Silicon Debug Manufacturing Test Fault Models Observability and Controllability Design for Test Scan BIST Boundary Scan Slide 2 Testing

More information

IEEE802.11a Based Wireless AV Module(WAVM) with Digital AV Interface. Outline

IEEE802.11a Based Wireless AV Module(WAVM) with Digital AV Interface. Outline IEEE802.11a Based Wireless AV Module() with Digital AV Interface TOSHIBA Corp. T.Wakutsu, N.Shibuya, E.Kamagata, T.Matsumoto, Y.Nagahori, T.Sakamoto, Y.Unekawa, K.Tagami, M.Serizawa Outline Background

More information

An Open Source Platform and EDA Tool Framework to Enable Scan Test Power Analysis Testing. Author Ivano Indino. Supervisor Dr Ciaran MacNamee

An Open Source Platform and EDA Tool Framework to Enable Scan Test Power Analysis Testing. Author Ivano Indino. Supervisor Dr Ciaran MacNamee An Open Source Platform and EDA Tool Framework to Enable Scan Test Power Analysis Testing Author Ivano Indino Supervisor Dr Ciaran MacNamee Submitted for the degree of Master of Engineering University

More information

Outline. EECS150 - Digital Design Lecture 27 - Asynchronous Sequential Circuits. Cross-coupled NOR gates. Asynchronous State Transition Diagram

Outline. EECS150 - Digital Design Lecture 27 - Asynchronous Sequential Circuits. Cross-coupled NOR gates. Asynchronous State Transition Diagram EECS150 - Digital Design Lecture 27 - Asynchronous Sequential Circuits Nov 26, 2002 John Wawrzynek Outline SR Latches and other storage elements Synchronizers Figures from Digital Design, John F. Wakerly

More information

RAPID SOC PROOF-OF-CONCEPT FOR ZERO COST JEFF MILLER, PRODUCT MARKETING AND STRATEGY, MENTOR GRAPHICS PHIL BURR, SENIOR PRODUCT MANAGER, ARM

RAPID SOC PROOF-OF-CONCEPT FOR ZERO COST JEFF MILLER, PRODUCT MARKETING AND STRATEGY, MENTOR GRAPHICS PHIL BURR, SENIOR PRODUCT MANAGER, ARM RAPID SOC PROOF-OF-CONCEPT FOR ZERO COST JEFF MILLER, PRODUCT MARKETING AND STRATEGY, MENTOR GRAPHICS PHIL BURR, SENIOR PRODUCT MANAGER, ARM A M S D E S I G N & V E R I F I C A T I O N W H I T E P A P

More information

XJTAG. Boundary Scan Tool. diagnosys.com

XJTAG. Boundary Scan Tool. diagnosys.com XJTAG Boundary Scan Tool diagnosys.com XJLink Overview The XJLink is a small, portable, USB 2.0 to JTAG adapter that provides a high speed interface (480Mbps) to the JTAG chain. The small, lightweight

More information

L12: Reconfigurable Logic Architectures

L12: Reconfigurable Logic Architectures L12: Reconfigurable Logic Architectures Acknowledgements: Materials in this lecture are courtesy of the following sources and are used with permission. Frank Honore Prof. Randy Katz (Unified Microelectronics

More information

Concurrent Programming through the JTAG Interface for MAX Devices

Concurrent Programming through the JTAG Interface for MAX Devices Concurrent through the JTAG Interface for MAX Devices February 1998, ver. 2 Product Information Bulletin 26 Introduction Concurrent vs. Sequential In a high-volume printed circuit board (PCB) manufacturing

More information

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 23, NO. 2, FEBRUARY

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 23, NO. 2, FEBRUARY IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 23, NO. 2, FEBRUARY 2015 317 Scan Test of Die Logic in 3-D ICs Using TSV Probing Brandon Noia, Shreepad Panth, Krishnendu Chakrabarty,

More information

of Boundary Scan techniques.

of Boundary Scan techniques. SMT TEHNOLOGY Boundary Scan Techniques for Test Coverage Improvement When discussing the JTAG protocol, most engineers immediately think of In System Programming procedures. Indeed, there are numerous

More information