SignalTap: An In-System Logic Analyzer

Size: px
Start display at page:

Download "SignalTap: An In-System Logic Analyzer"

Transcription

1 SignalTap: An In-System Logic Analyzer I. Introduction In this chapter we will learn 1 how to use SignalTap II (SignalTap) (Altera Corporation 2010). This core is a logic analyzer provided by Altera that is compiled with your design. A block diagram view of the system is shown in Fig. 1. Fig. 1. Block diagram of a design that has SignalTap compiled as a separate design partition (Altera Corporation 2010). The goals of this chapter are: 1. To guide the reader in downloading and verifying a simple design on the DE1 board via SignalTap. 2. To explain the concepts of clock, trigger and buffer in SignalTap. 3. To help the reader understand different areas of the SignalTap main window. 1 As usual, this tutorial refers to version 10.0 of Quartus and the associated tools.

2 II. The System The design to be analyzed configures a Cyclone II Phase Locked Loop (PLL) via the MegaIP Wizard to step up the 50 MHz DE1 board clock to 100 MHz 2. We use a counter to step down the 50 MHz clock to 1 Hz and output the slow clock to a green LED on the DE1. We use the same counter, but the input clock is 100 MHz. We output this clock to a red LED on the board. Visually, the red LED will appear to flash twice as fast as the green LED. A top-level FSM waits for the user to press KEY(0) on the DE1 board before running the design. We confirm the 100 MHz clock frequency using SignalTap. A top-level RTL output of the project is shown in Fig. 2. Note that to avoid confusion, Fig. 2 does not include the SignalTap core. Fig. 2. The top level RTL view of the signaltapdemo project To continue, please open the signaltapdemo Quartus project. III. Starting SignalTap Note that before we can use SignalTap we need to enable the TalkBack feature in Quartus. Refer to Appendix A at the end of this chapter to enable the TalkBack feature. There are many ways to start SignalTap. The easiest way is to add a SignalTap (.stp) file to our project. We will then configure SignalTap, compile SignalTap with our design and download the resulting.sof file to the DE1 board. Note that every time we change settings in SignalTap, we need to recompile the entire project since we are using the free web edition of Quartus. The subscription edition of Quartus has the incremental compilation feature that enables us to recompile only modified elements of our design. First, to start SignalTap, add the.stp file to our project as follows: 1. Once you open the signaltapdemo project, left-click File New. 2 We will use faster clocks in later chapters to output higher VGA resolutions.

3 2. The window in Fig. 3 should pop up. Left-click on as shown in Fig. 3 and left-click. Fig. 3. Adding the.stp file to your project The SignalTap window in Fig. 4 appears. The different sub-windows of SignalTap have been labeled in Fig. 4. We will use these sub-windows to understand some of the basic concepts behind SignalTap.

4 IV. Basic Concepts behind SignalTap Fig. 4. SignalTap main window There are three main concepts behind SignalTap: the clock signal, the trigger and the buffer. Please refer to Fig. 4 throughout the discussion below. 1. The Clock Signal provides the source of the sampling clock for your design. In this case, we will use a 300 MHz sampling clock. According to the Nyquist-Shannon sampling theorem (Varaiya and Lee 2002), to avoid aliasing, the sampling clock needs to be at least twice as fast as the highest frequency in the system. In our project the fastest clock has a frequency of 100 MHz. Hence we need a sampling clock with a frequency of at least 200 MHz. 2. The Trigger source starts the sampling of the specified signals (using the sampling rate of the Clock Signal in 1.). In the case of our project we will trigger on the start button. That is, SignalTap will wait till the user presses KEY0 on the board to start sampling at the rate specified by the clock signal. 3. The Buffer is where the data is stored. We will use a buffer size of 4K. The size of the buffer and the number of signals that we monitor determine the amount of time for which data can be stored.

5 Based on the discussion above we will configure SignalTap to use the 300 MHz clock as the sampling clock, KEY(0) as the trigger and a buffer size of 4K. To configure SignalTap to use the 300 MHz clock: 1. Left-click on the next to The Clock Signal label in Fig. 4. The Node-finder window in Fig. 5 appears. Fig. 5. The node finder window The node finder window can be used to add clock sources, signals and triggers. We will add the 300 MHz clock signal first. First, make sure the default setup of the node finder window matches the settings in Fig. 6. Fig. 6. Default setup of the node finder window, compare to Fig The default setup indicates that we are going to list all names from our design entry. Left-click in the node finder window. Fig. 5 changes to Fig. 7.

6 Fig. 7. The node finder window listing all signals in our design entry Scroll down the as shown in Fig. 8. list till you can select clockpll:pllrealization c1 signal Notice that Quartus synthesized away the node name specified in our project. The reason is that the 300 MHz clock is not driving any input.

7 Fig. 8. Selecting the 300 MHz clock signal. The format of the node is <component name>:<instance name> port 3. Left-click to move the node to. Next, left-click. This should bring up the SignalTap main window. The relevant part of this window is shown in Fig. 9. Fig. 9. The Clock source is selected to be the second output of the PLL, the 300 MHz clock Now we will configure the sample depth or Buffer size. Simply select 4K from the drop down box in Fig. 9.

8 Finally we will add the signals that we want to sample and select one of them as the trigger source. A very important note about SignalTap is that it is not possible to display or trigger on the clock source. To add signals to be monitored: 4. Right-Click in the Trigger Sources and Signals to be Monitored sub-window (refer to Fig. 4). This should bring up the menu shown in Fig. 10. Fig. 10. We will use the Node Finder to add signals and trigger sources

9 5. Left-click. The Node finder window appears again. Select nodes such that the Node finder window matches Fig. 11 shown below. Fig. 11. Selecting the signals to monitor and our trigger source We have elected to monitor three signals: KEY(0) 3, the 50 MHz clock and the 100 MHz clock. The node for the 100 MHz clock is. 6. Left-click the Trigger Sources. This should bring back the SignalTap main window but now and Signals to be monitored is filled. Refer to Fig. 12. Fig. 12. The setup window configured with our nodes to be monitored 3 We use the VHDL notation for KEY: KEY(0) instead of SignalTap s square bracket notation: KEY[0].

10 7. We will trigger on a falling edge (active low) of KEY(0). Right-Click the under Trigger Conditions for KEY(0) and select as shown in Fig. 13. Fig. 13. Configuring KEY(0) as the trigger 8. Uncheck for the other signals. The final result should be Fig. 14. Fig. 14. Trigger and signals are selected We are almost ready to simulate. First, notice that the amount of memory (M4K) blocks required by our.stp file is reported under the SignalTap Memory Usage section in Fig. 4. Fig. 15 below shows only this section from Fig. 4 but with the relevant information filled in. Fig. 15. Trigger and signals are selected Finally we need to save, compile and download our design. This is done in the next section. V. Running SignalTap

11 1. Save your.stp file by selecting File Save. Use the default name, a dialog box asks if you want to enable SignalTap for the current project. Select yes and open the minimized Quartus Window. You should see the stp1.stp under Project Navigator and the design ready to be compiled as shown in Fig. 16. Fig. 16. Quartus project with SignalTap module added Double-click on and wait for the synthesis to finish.

12 2. Once synthesis is complete, maximize the SignalTap window again and browse for the.sof file by left-clicking next to the.sof file information box (refer to Fig. 4). Choose the signaltapdemo.sof file, the result is shown in Fig. 17. Fig. 17. signaltapdemo.sof selected for download 3. Now power on the DE1 board by connecting the USB cable to your laptop. Left-click (in Fig. 17) to bring up the Hardware Setup window shown in Fig. 18. Use the drop-down box as shown in Fig. 18 to select the USB-Blaster. Left-click to go back to the SignalTap main window. Fig. 18. Selecting the USB blaster 4. Use the drop down box (refer to Fig. 19) to select USB-Blaster in the SignalTap main window. Fig. 20 shows the result.

13 Fig. 19. Enabling USB blaster in SignalTap Fig. 20. USB blaster enabled in SignalTap 5. SignalTap should now be ready to acquire. Left-click the tab under the Trigger Sources and Signals to be monitored and Left-click on the SignalTap instance under SignalTap Memory Usage (refer to Fig. 4). Fig. 21 shows the result. 6. Left-click on Run-Analysis button. SignalTap will interface to the DE1 and wait for you to press KEY(0). Once you press KEY(0), you should obtain Fig In order to zoom in and confirm that we have a doubled clock, move your mouse cursor over the any of the signals in the Data window. The cursor will change to a zoom icon. Repeatedly left-click till you can visually confirm that you have a doubled clock, refer to Fig. 23. Now, it would be useful if the time units were in seconds instead of sample numbers. To change the units, right-click on the scale. Enter 3.33 ns since we have a 300 MHz sampling clock and leftclick and select. Figure 24 shows the result.

14 Fig. 21. SignalTap ready to acquire Fig. 22. Data acquired in SignalTap, after pressing KEY(0)

15 Fig. 23. Zoomed in signals. Notice that the clocks are not synchronized Fig. 24. Axis scale adjusted to display time units. Notice that the PLL clock out has twice the frequency as the 50 MHz clock VI. Conclusions In this chapter, we introduced you to SignalTap. We downloaded a simple clock doubler design on the DE1 board and confirmed the functionality of our design. SignalTap has advanced features like segmented buffering, advanced trigger conditions like state-based triggering etc. The SignalTap user s manual from Altera (Altera Corporation 2010) is a good starting point for advanced functionality in SignalTap. VII. References Altera Corporation. "Quartus II 10.0 handbook - Design Debugging Using the SignalTap II Logic Analyzer." July (accessed July 2010). Varaiya, Pravin P, and Edward A Lee. Structure and Interpretation of Signals and Systems. Addison- Wesley, VIII. Revision History Date August 2010 Author/Comments Dr. Muthuswamy/initial documentation

16 Appendix A Enabling the TalkBack Feature Before we begin, we need to enable the TalkBack feature of Quartus II web edition so we can use SignalTap. Follow the steps below to enable the TalkBack feature. Step 1: Start Quartus and select Tools Options Internet Connectivity. You should see the window in Fig. A1. Fig. A1. Enabling TalkBack Select and enable the feature. Save your settings and restart Quartus. You should now be able to use SignalTap.

Using SignalTap II in the Quartus II Software

Using SignalTap II in the Quartus II Software White Paper Using SignalTap II in the Quartus II Software Introduction The SignalTap II embedded logic analyzer, available exclusively in the Altera Quartus II software version 2.1, helps reduce verification

More information

SignalTap Analysis in the Quartus II Software Version 2.0

SignalTap Analysis in the Quartus II Software Version 2.0 SignalTap Analysis in the Quartus II Software Version 2.0 September 2002, ver. 2.1 Application Note 175 Introduction As design complexity for programmable logic devices (PLDs) increases, traditional methods

More information

Laboratory Exercise 7

Laboratory Exercise 7 Laboratory Exercise 7 Finite State Machines This is an exercise in using finite state machines. Part I We wish to implement a finite state machine (FSM) that recognizes two specific sequences of applied

More information

DE2-115/FGPA README. 1. Running the DE2-115 for basic operation. 2. The code/project files. Project Files

DE2-115/FGPA README. 1. Running the DE2-115 for basic operation. 2. The code/project files. Project Files DE2-115/FGPA README For questions email: jeff.nicholls.63@gmail.com (do not hesitate!) This document serves the purpose of providing additional information to anyone interested in operating the DE2-115

More information

Laboratory Exercise 4

Laboratory Exercise 4 Laboratory Exercise 4 Polling and Interrupts The purpose of this exercise is to learn how to send and receive data to/from I/O devices. There are two methods used to indicate whether or not data can be

More information

Debugging of VHDL Hardware Designs on Altera s DE2 Boards

Debugging of VHDL Hardware Designs on Altera s DE2 Boards Debugging of VHDL Hardware Designs on Altera s DE2 Boards This tutorial presents some basic debugging concepts that can be helpful in creating VHDL designs for implementation on Altera s DE2 boards. It

More information

FPGA TechNote: Asynchronous signals and Metastability

FPGA TechNote: Asynchronous signals and Metastability FPGA TechNote: Asynchronous signals and Metastability This Doulos FPGA TechNote gives a brief overview of metastability as it applies to the design of FPGAs. The first section introduces metastability

More information

Ryerson University Department of Electrical and Computer Engineering COE/BME 328 Digital Systems

Ryerson University Department of Electrical and Computer Engineering COE/BME 328 Digital Systems 1 P a g e Ryerson University Department of Electrical and Computer Engineering COE/BME 328 Digital Systems Lab 6 35 Marks (3 weeks) Design of a Simple General-Purpose Processor Due Date: Week 12 Objective:

More information

CHAPTER 3 EXPERIMENTAL SETUP

CHAPTER 3 EXPERIMENTAL SETUP CHAPTER 3 EXPERIMENTAL SETUP In this project, the experimental setup comprised of both hardware and software. Hardware components comprised of Altera Education Kit, capacitor and speaker. While software

More information

Debugging of Verilog Hardware Designs on Altera s DE-Series Boards. 1 Introduction. For Quartus Prime 15.1

Debugging of Verilog Hardware Designs on Altera s DE-Series Boards. 1 Introduction. For Quartus Prime 15.1 Debugging of Verilog Hardware Designs on Altera s DE-Series Boards For Quartus Prime 15.1 1 Introduction This tutorial presents some basic debugging concepts that can be helpful in creating Verilog designs

More information

Procedures to Characterize Maury s Automatic Tuner Using ATS Software Version 5.1 or above

Procedures to Characterize Maury s Automatic Tuner Using ATS Software Version 5.1 or above Procedures to Characterize Maury s Automatic Tuner Using ATS Software Version 5.1 or above Things to check before tuner characterization Make sure tuner is power up and USB cable is connected to the computer

More information

CSCB58 - Lab 4. Prelab /3 Part I (in-lab) /1 Part II (in-lab) /1 Part III (in-lab) /2 TOTAL /8

CSCB58 - Lab 4. Prelab /3 Part I (in-lab) /1 Part II (in-lab) /1 Part III (in-lab) /2 TOTAL /8 CSCB58 - Lab 4 Clocks and Counters Learning Objectives The purpose of this lab is to learn how to create counters and to be able to control when operations occur when the actual clock rate is much faster.

More information

Implementing Audio IP in SDI II on Arria V Development Board

Implementing Audio IP in SDI II on Arria V Development Board Implementing Audio IP in SDI II on Arria V Development Board AN-697 Subscribe This document describes a reference design that uses the Audio Embed, Audio Extract, Clocked Audio Input and Clocked Audio

More information

Laboratory Exercise 7

Laboratory Exercise 7 Laboratory Exercise 7 Finite State Machines This is an exercise in using finite state machines. Part I We wish to implement a finite state machine (FSM) that recognizes two specific sequences of applied

More information

Quick Reference Manual

Quick Reference Manual Quick Reference Manual V1.0 1 Contents 1.0 PRODUCT INTRODUCTION...3 2.0 SYSTEM REQUIREMENTS...5 3.0 INSTALLING PDF-D FLEXRAY PROTOCOL ANALYSIS SOFTWARE...5 4.0 CONNECTING TO AN OSCILLOSCOPE...6 5.0 CONFIGURE

More information

Getting Started with the LabVIEW Sound and Vibration Toolkit

Getting Started with the LabVIEW Sound and Vibration Toolkit 1 Getting Started with the LabVIEW Sound and Vibration Toolkit This tutorial is designed to introduce you to some of the sound and vibration analysis capabilities in the industry-leading software tool

More information

ECT 224: Digital Computer Fundamentals Digital Circuit Simulation & Timing Analysis

ECT 224: Digital Computer Fundamentals Digital Circuit Simulation & Timing Analysis ECT 224: Digital Computer Fundamentals Digital Circuit Simulation & Timing Analysis 1) Start the Xilinx ISE application, open Start All Programs Xilinx ISE 9.1i Project Navigator or use the shortcut on

More information

Introduction To LabVIEW and the DSP Board

Introduction To LabVIEW and the DSP Board EE-289, DIGITAL SIGNAL PROCESSING LAB November 2005 Introduction To LabVIEW and the DSP Board 1 Overview The purpose of this lab is to familiarize you with the DSP development system by looking at sampling,

More information

California State University, Bakersfield Computer & Electrical Engineering & Computer Science ECE 3220: Digital Design with VHDL Laboratory 7

California State University, Bakersfield Computer & Electrical Engineering & Computer Science ECE 3220: Digital Design with VHDL Laboratory 7 California State University, Bakersfield Computer & Electrical Engineering & Computer Science ECE 322: Digital Design with VHDL Laboratory 7 Rational: The purpose of this lab is to become familiar in using

More information

Digilent Nexys-3 Cellular RAM Controller Reference Design Overview

Digilent Nexys-3 Cellular RAM Controller Reference Design Overview Digilent Nexys-3 Cellular RAM Controller Reference Design Overview General Overview This document describes a reference design of the Cellular RAM (or PSRAM Pseudo Static RAM) controller for the Digilent

More information

Using the Quartus II Chip Editor

Using the Quartus II Chip Editor Using the Quartus II Chip Editor June 2003, ver. 1.0 Application Note 310 Introduction Altera FPGAs have made tremendous advances in capacity and performance. Today, Altera Stratix and Stratix GX devices

More information

Mortara X-Scribe Tango+ Interface Notes

Mortara X-Scribe Tango+ Interface Notes Mortara X-Scribe Tango+ Interface Notes To setup Tango+ with the X-Scribe stress system, simply follow the directions below. 1. Verify Correct RS-232 and ECG Trigger Cables RS-232 Cable used to communicate

More information

FAQ of DVB-S PI210. Copyright KWorld Computer Co., Ltd. All rights are reserved. October 24, 2007

FAQ of DVB-S PI210. Copyright KWorld Computer Co., Ltd. All rights are reserved. October 24, 2007 FAQ of DVB-S PI210 Copyright 2007. KWorld Computer Co., Ltd. All rights are reserved. October 24, 2007 Page 1 of 17 (1)I had just received my product, I don t know how to set up everything!...3 (2)If my

More information

AN 848: Implementing Intel Cyclone 10 GX Triple-Rate SDI II with Nextera FMC Daughter Card Reference Design

AN 848: Implementing Intel Cyclone 10 GX Triple-Rate SDI II with Nextera FMC Daughter Card Reference Design AN 848: Implementing Intel Cyclone 10 GX Triple-Rate SDI II with Nextera FMC Daughter Card Reference Design Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on

More information

Solutions to Embedded System Design Challenges Part II

Solutions to Embedded System Design Challenges Part II Solutions to Embedded System Design Challenges Part II Time-Saving Tips to Improve Productivity In Embedded System Design, Validation and Debug Hi, my name is Mike Juliana. Welcome to today s elearning.

More information

PRELIMINARY INFORMATION. Professional Signal Generation and Monitoring Options for RIFEforLIFE Research Equipment

PRELIMINARY INFORMATION. Professional Signal Generation and Monitoring Options for RIFEforLIFE Research Equipment Integrated Component Options Professional Signal Generation and Monitoring Options for RIFEforLIFE Research Equipment PRELIMINARY INFORMATION SquareGENpro is the latest and most versatile of the frequency

More information

Cyclone II EPC35. M4K = memory IOE = Input Output Elements PLL = Phase Locked Loop

Cyclone II EPC35. M4K = memory IOE = Input Output Elements PLL = Phase Locked Loop FPGA Cyclone II EPC35 M4K = memory IOE = Input Output Elements PLL = Phase Locked Loop Cyclone II (LAB) Cyclone II Logic Element (LE) LAB = Logic Array Block = 16 LE s Logic Elements Another special packing

More information

HDL & High Level Synthesize (EEET 2035) Laboratory II Sequential Circuits with VHDL: DFF, Counter, TFF and Timer

HDL & High Level Synthesize (EEET 2035) Laboratory II Sequential Circuits with VHDL: DFF, Counter, TFF and Timer 1 P a g e HDL & High Level Synthesize (EEET 2035) Laboratory II Sequential Circuits with VHDL: DFF, Counter, TFF and Timer Objectives: Develop the behavioural style VHDL code for D-Flip Flop using gated,

More information

Main Design Project. The Counter. Introduction. Macros. Procedure

Main Design Project. The Counter. Introduction. Macros. Procedure Main Design Project Introduction In order to gain some experience with using macros we will exploit some of the features of our boards to construct a counter that will count from 0 to 59 with the counts

More information

Design and implementation (in VHDL) of a VGA Display and Light Sensor to run on the Nexys4DDR board Report and Signoff due Week 6 (October 4)

Design and implementation (in VHDL) of a VGA Display and Light Sensor to run on the Nexys4DDR board Report and Signoff due Week 6 (October 4) ECE 574: Modeling and synthesis of digital systems using Verilog and VHDL Fall Semester 2017 Design and implementation (in VHDL) of a VGA Display and Light Sensor to run on the Nexys4DDR board Report and

More information

Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science Introductory Digital Systems Laboratory

Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science Introductory Digital Systems Laboratory Problem Set Issued: March 3, 2006 Problem Set Due: March 15, 2006 Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science 6.111 Introductory Digital Systems Laboratory

More information

EEM Digital Systems II

EEM Digital Systems II ANADOLU UNIVERSITY DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING EEM 334 - Digital Systems II LAB 3 FPGA HARDWARE IMPLEMENTATION Purpose In the first experiment, four bit adder design was prepared

More information

Design of a Binary Number Lock (using schematic entry method) 1. Synopsis: 2. Description of the Circuit:

Design of a Binary Number Lock (using schematic entry method) 1. Synopsis: 2. Description of the Circuit: Design of a Binary Number Lock (using schematic entry method) 1. Synopsis: This lab gives you more exercise in schematic entry, state machine design using the one-hot state method, further understanding

More information

Lab experience 1: Introduction to LabView

Lab experience 1: Introduction to LabView Lab experience 1: Introduction to LabView LabView is software for the real-time acquisition, processing and visualization of measured data. A LabView program is called a Virtual Instrument (VI) because

More information

Agilent Parallel Bit Error Ratio Tester. System Setup Examples

Agilent Parallel Bit Error Ratio Tester. System Setup Examples Agilent 81250 Parallel Bit Error Ratio Tester System Setup Examples S1 Important Notice This document contains propriety information that is protected by copyright. All rights are reserved. Neither the

More information

Altera s Max+plus II Tutorial

Altera s Max+plus II Tutorial Altera s Max+plus II Tutorial Written by Kris Schindler To accompany Digital Principles and Design (by Donald D. Givone) 8/30/02 1 About Max+plus II Altera s Max+plus II is a powerful simulation package

More information

ENGR 1000, Introduction to Engineering Design

ENGR 1000, Introduction to Engineering Design ENGR 1000, Introduction to Engineering Design Unit 2: Data Acquisition and Control Technology Lesson 2.4: Programming Digital Ports Hardware: 12 VDC power supply Several lengths of wire NI-USB 6008 Device

More information

EdgeConnect Module Quick Start Guide ITERIS INNOVATION FOR BETTER MOBILITY

EdgeConnect Module Quick Start Guide ITERIS INNOVATION FOR BETTER MOBILITY EdgeConnect Module Quick Start Guide ITERIS INNOVATION FOR BETTER MOBILITY 493456301 Rev B April 2009 Table of Contents Installation... 1 Setup... 2 Operation... 4 Live Video... 4 Video Settings... 5 Network

More information

AMIQ-K2 Program for Transferring Various-Format I/Q Data to AMIQ. Products: AMIQ, SMIQ

AMIQ-K2 Program for Transferring Various-Format I/Q Data to AMIQ. Products: AMIQ, SMIQ Products: AMIQ, SMIQ AMIQ-K2 Program for Transferring Various-Format I/Q Data to AMIQ The software AMIQ-K2 enables you to read, convert, and transfer various-format I/Q data files to AMIQ format. AMIQ-K2

More information

MIE 402: WORKSHOP ON DATA ACQUISITION AND SIGNAL PROCESSING Spring 2003

MIE 402: WORKSHOP ON DATA ACQUISITION AND SIGNAL PROCESSING Spring 2003 MIE 402: WORKSHOP ON DATA ACQUISITION AND SIGNAL PROCESSING Spring 2003 OBJECTIVE To become familiar with state-of-the-art digital data acquisition hardware and software. To explore common data acquisition

More information

Serial Digital Interface Reference Design for Stratix IV Devices

Serial Digital Interface Reference Design for Stratix IV Devices Serial Digital Interface Reference Design for Stratix IV Devices AN-600-1.2 Application Note The Serial Digital Interface (SDI) reference design shows how you can transmit and receive video data using

More information

SignalTap Plus System Analyzer

SignalTap Plus System Analyzer SignalTap Plus System Analyzer June 2000, ver. 1 Data Sheet Features Simultaneous internal programmable logic device (PLD) and external (board-level) logic analysis 32-channel external logic analyzer 166

More information

Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science Introductory Digital Systems Laboratory

Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science Introductory Digital Systems Laboratory Problem Set Issued: March 2, 2007 Problem Set Due: March 14, 2007 Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science 6.111 Introductory Digital Systems Laboratory

More information

LedSet User s Manual V Official website: 1 /

LedSet User s Manual V Official website:   1 / LedSet User s Manual V2.6.1 1 / 42 20171123 Contents 1. Interface... 3 1.1. Option Menu... 4 1.1.1. Screen Configuration... 4 1.1.1.1. Instruction to Sender/ Receiver/ Display Connection... 4 1.1.1.2.

More information

Configuring the Stack ST8961 VS Module when used in conjunction with a Stack ST81xx series display.

Configuring the Stack ST8961 VS Module when used in conjunction with a Stack ST81xx series display. Configuring the Stack ST8961 VS Module when used in conjunction with a Stack ST81xx series display. Your Stack ST8961 VS module allows you to synchronize, overlay, and record data available on your Stack

More information

User Manual. English. Sequencer Control Option BE3200. I en HBM: public

User Manual. English. Sequencer Control Option BE3200. I en HBM: public User Manual English Sequencer Control Option BE3200 I2702-1.2 en HBM: public Document version 1.2 - July 2016 References made to the Perception software are for version 7.00 or higher For HBM's Terms and

More information

USER GUIDE. Get the most out of your DTC TV service!

USER GUIDE. Get the most out of your DTC TV service! TV USER GUIDE Get the most out of your DTC TV service! 1 800-367-4274 www.dtccom.net TV Customer Care Technical Support 615-529-2955 615-273-8288 Carthage Area Carthage Area 615-588-1277 615-588-1282 www.dtccom.net

More information

Mortara XScribe Versions 3.xx and 5.xx Tango M2 Interface Notes

Mortara XScribe Versions 3.xx and 5.xx Tango M2 Interface Notes Mortara XScribe Versions 3.xx and 5.xx Tango M2 Interface Notes To setup Tango M2 with the XScribe cardiac stress system, simply follow the directions below. 1. Verify Correct RS-232 and ECG Trigger Cables

More information

Defining and Labeling Circuits and Electrical Phasing in PLS-CADD

Defining and Labeling Circuits and Electrical Phasing in PLS-CADD 610 N. Whitney Way, Suite 160 Madison, WI 53705 Phone: 608.238.2171 Fax: 608.238.9241 Email:info@powline.com URL: http://www.powline.com Defining and Labeling Circuits and Electrical Phasing in PLS-CADD

More information

Welch Allyn CardioPerfect Workstation Tango+ Interface Notes

Welch Allyn CardioPerfect Workstation Tango+ Interface Notes Welch Allyn CardioPerfect Workstation Tango+ Interface Notes To setup Tango+ with the CardioPerfect stress system, simply follow the directions below. 1. Verify Correct RS-232 and ECG Trigger Cables RS-232

More information

Wilkes Repair: wilkes.net River Street, Wilkesboro, NC COMMUNICATIONS

Wilkes Repair: wilkes.net River Street, Wilkesboro, NC COMMUNICATIONS 1 Wilkes COMMUNICATIONS 336.973.3103 877.973.3104 Repair: 336.973.4000 Email: wilkesinfo@wilkes.net wilkes.net 1400 River Street, Wilkesboro, NC 28697 2 Table of Contents REMOTE CONTROL DIAGRAM 4 PLAYBACK

More information

Advanced Synchronization Techniques for Data Acquisition

Advanced Synchronization Techniques for Data Acquisition Application Note 128 Advanced Synchronization Techniques for Data Acquisition Introduction Brad Turpin Many of today s instrumentation solutions require sophisticated timing of a variety of I/O functions

More information

Training Note TR-06RD. Schedules. Schedule types

Training Note TR-06RD. Schedules. Schedule types Schedules General operation of the DT80 data loggers centres on scheduling. Schedules determine when various processes are to occur, and can be triggered by the real time clock, by digital or counter events,

More information

TSIU03: Lab 3 - VGA. Petter Källström, Mario Garrido. September 10, 2018

TSIU03: Lab 3 - VGA. Petter Källström, Mario Garrido. September 10, 2018 Petter Källström, Mario Garrido September 10, 2018 Abstract In the initialization of the DE2-115 (after you restart it), an image is copied into the SRAM memory. What you have to do in this lab is to read

More information

CHAPTER 6 DESIGN OF HIGH SPEED COUNTER USING PIPELINING

CHAPTER 6 DESIGN OF HIGH SPEED COUNTER USING PIPELINING 149 CHAPTER 6 DESIGN OF HIGH SPEED COUNTER USING PIPELINING 6.1 INTRODUCTION Counters act as important building blocks of fast arithmetic circuits used for frequency division, shifting operation, digital

More information

FPGA Laboratory Assignment 4. Due Date: 06/11/2012

FPGA Laboratory Assignment 4. Due Date: 06/11/2012 FPGA Laboratory Assignment 4 Due Date: 06/11/2012 Aim The purpose of this lab is to help you understanding the fundamentals of designing and testing memory-based processing systems. In this lab, you will

More information

CCE900-IP-TR. User s Guide

CCE900-IP-TR. User s Guide CCE900-IP-TR CCE900-IP-T & CCE900-IP-R User s Guide i-tech Company LLC TOLL FREE: (888) 483-2418 EMAIL: info@itechlcd.com WEB: www.itechlcd.com 1. Introduction The CCE900-IP-T & CCE900-IP-R is a solution

More information

Aurora Grid-Tie Installation Instructions (Model Number: PVI-3.0-OUTD-US-W) Revision 4.1

Aurora Grid-Tie Installation Instructions (Model Number: PVI-3.0-OUTD-US-W) Revision 4.1 Aurora Grid-Tie Installation Instructions (Model Number: PVI-3.0-OUTD-US-W) Revision 4.1 Contents 1) Grid-Tie Installation Block Diagram... 3 2) Installation Steps.... 4 2.1) Initial Setup.... 4 2.1.1)

More information

Analyzing and Saving a Signal

Analyzing and Saving a Signal Analyzing and Saving a Signal Approximate Time You can complete this exercise in approximately 45 minutes. Background LabVIEW includes a set of Express VIs that help you analyze signals. This chapter teaches

More information

SERDES Eye/Backplane Demo for the LatticeECP3 Serial Protocol Board User s Guide

SERDES Eye/Backplane Demo for the LatticeECP3 Serial Protocol Board User s Guide for the LatticeECP3 Serial Protocol Board User s Guide March 2011 UG24_01.4 Introduction This document provides technical information and instructions on using the LatticeECP3 SERDES Eye/Backplane Demo

More information

Fig. 1. The Front Panel (Graphical User Interface)

Fig. 1. The Front Panel (Graphical User Interface) ME 4710 Motion and Control Data Acquisition Software for Step Excitation Introduction o These notes describe LabVIEW software that can be used for data acquisition. The overall software characteristics

More information

INSTALLATION AND OPERATION INSTRUCTIONS EVOLUTION VIDEO DISTRIBUTION SYSTEM

INSTALLATION AND OPERATION INSTRUCTIONS EVOLUTION VIDEO DISTRIBUTION SYSTEM INSTALLATION AND OPERATION INSTRUCTIONS EVOLUTION VIDEO DISTRIBUTION SYSTEM ATTENTION: READ THE ENTIRE INSTRUCTION SHEET BEFORE STARTING THE INSTALLATION PROCESS. WARNING! Do not begin to install your

More information

Cisco Spectrum Expert Software Overview

Cisco Spectrum Expert Software Overview CHAPTER 5 If your computer has an 802.11 interface, it should be enabled in order to detect Wi-Fi devices. If you are connected to an AP or ad-hoc network through the 802.11 interface, you will occasionally

More information

This guide gives a brief description of the ims4 functions, how to use this GUI and concludes with a number of examples.

This guide gives a brief description of the ims4 functions, how to use this GUI and concludes with a number of examples. Quick Start Guide: Isomet ims Studio Isomet ims Studio v1.40 is the first release of the Windows graphic user interface for the ims4- series of 4 channel synthezisers, build level rev A and rev B. This

More information

Traffic Light Controller

Traffic Light Controller Traffic Light Controller Four Way Intersection Traffic Light System Fall-2017 James Todd, Thierno Barry, Andrew Tamer, Gurashish Grewal Electrical and Computer Engineering Department School of Engineering

More information

Serial Decode I2C TEN MINUTE TUTORIAL. December 21, 2011

Serial Decode I2C TEN MINUTE TUTORIAL. December 21, 2011 Serial Decode I2C TEN MINUTE TUTORIAL December 21, 2011 Summary LeCroy oscilloscopes have the ability to trigger on and decode multiple serial data protocols. The decode in binary, hex, or ASCII format,

More information

First Time Setup Guide

First Time Setup Guide First Time Setup Guide www.exhibio.com 1.877.EXHIBIO (394.4246) Exhibio ST-200 Components & Accessories Standing Mount TV Tuner with Input Cable (USB 2.0 only) VESA Mount Over-the-Air Antenna Power Adapter

More information

2. Logic Elements and Logic Array Blocks in the Cyclone III Device Family

2. Logic Elements and Logic Array Blocks in the Cyclone III Device Family December 2011 CIII51002-2.3 2. Logic Elements and Logic Array Blocks in the Cyclone III Device Family CIII51002-2.3 This chapter contains feature definitions for logic elements (LEs) and logic array blocks

More information

NanoGiant Oscilloscope/Function-Generator Program. Getting Started

NanoGiant Oscilloscope/Function-Generator Program. Getting Started Getting Started Page 1 of 17 NanoGiant Oscilloscope/Function-Generator Program Getting Started This NanoGiant Oscilloscope program gives you a small impression of the capabilities of the NanoGiant multi-purpose

More information

PQ-Box 100 Quick Start Instructions

PQ-Box 100 Quick Start Instructions PQ-Box 100 Quick Start Instructions These instructions are provided for the purpose on providing a quick start to PQ-Box 100 installation and operation. Please refer to the user handbook for full details.

More information

Implementing VGA Application on FPGA using an Innovative Algorithm with the help of NIOS-II

Implementing VGA Application on FPGA using an Innovative Algorithm with the help of NIOS-II Implementing VGA Application on FPGA using an Innovative Algorithm with the help of NIOS-II Ashish B. Pasaya 1 1 E & C Engg. Department, Sardar Vallabhbhai Patel institute of technology, Vasad, Gujarat,

More information

Tutorial 11 ChipscopePro, ISE 10.1 and Xilinx Simulator on the Digilent Spartan-3E board

Tutorial 11 ChipscopePro, ISE 10.1 and Xilinx Simulator on the Digilent Spartan-3E board Tutorial 11 ChipscopePro, ISE 10.1 and Xilinx Simulator on the Digilent Spartan-3E board Introduction This lab will be an introduction on how to use ChipScope for the verification of the designs done on

More information

Main Design Project. The Counter. Introduction. Macros. Procedure

Main Design Project. The Counter. Introduction. Macros. Procedure Main Design Project Introduction In order to gain some experience with using macros we will exploit some of the features of our boards to construct a counter that will count from 0 to 59 with the counts

More information

Video Effects Processor - VSL 201

Video Effects Processor - VSL 201 Video Effects Processor - VSL 201 Please read these instructions before use Video Solutions Ltd 109 Cranham Drive Worcester WR4 9LZ www.videosolutions.ltd.uk Version 120602 Video Effects Processor - VSL

More information

ExtIO Plugin User Guide

ExtIO Plugin User Guide Overview The SDRplay Radio combines together the Mirics flexible tuner front-end and USB Bridge to produce a SDR platform capable of being used for a wide range of worldwide radio and TV standards. This

More information

1. Synopsis: 2. Description of the Circuit:

1. Synopsis: 2. Description of the Circuit: Design of a Binary Number Lock (using schematic entry method) 1. Synopsis: This lab gives you more exercise in schematic entry, state machine design using the one-hot state method, further understanding

More information

1 Terasic Inc. D8M-GPIO User Manual

1  Terasic Inc. D8M-GPIO User Manual 1 Chapter 1 D8M Development Kit... 4 1.1 Package Contents... 4 1.2 D8M System CD... 5 1.3 Assemble the Camera... 5 1.4 Getting Help... 6 Chapter 2 Introduction of the D8M Board... 7 2.1 Features... 7 2.2

More information

PEP-II longitudinal feedback and the low groupdelay. Dmitry Teytelman

PEP-II longitudinal feedback and the low groupdelay. Dmitry Teytelman PEP-II longitudinal feedback and the low groupdelay woofer Dmitry Teytelman 1 Outline I. PEP-II longitudinal feedback and the woofer channel II. Low group-delay woofer topology III. Why do we need a separate

More information

Decade Counters Mod-5 counter: Decade Counter:

Decade Counters Mod-5 counter: Decade Counter: Decade Counters We can design a decade counter using cascade of mod-5 and mod-2 counters. Mod-2 counter is just a single flip-flop with the two stable states as 0 and 1. Mod-5 counter: A typical mod-5

More information

Programmable Logic Design I

Programmable Logic Design I Programmable Logic Design I Introduction In labs 11 and 12 you built simple logic circuits on breadboards using TTL logic circuits on 7400 series chips. This process is simple and easy for small circuits.

More information

SigPlay User s Guide

SigPlay User s Guide SigPlay User s Guide . . SigPlay32 User's Guide? Version 3.4 Copyright? 2001 TDT. All rights reserved. No part of this manual may be reproduced or transmitted in any form or by any means, electronic or

More information

Reference Guide 2015 ZOOM CORPORATION. Copying or reprinting this manual in part or in whole without permission is prohibited.

Reference Guide 2015 ZOOM CORPORATION. Copying or reprinting this manual in part or in whole without permission is prohibited. Reference Guide 2015 ZOOM CORPORATION Copying or reprinting this manual in part or in whole without permission is prohibited. Introduction is a mixer application designed specifically for the. Using a

More information

Signals Needed for Digital Communications

Signals Needed for Digital Communications Signals Needed for Digital Communications Paul Krahmer KA4IOX Page 1 of 26 April 03, 2018 Cable Setup Paul Krahmer KA4IOX Page 2 of 26 April 03, 2018 Setup Functional Block Diagram Paul Krahmer KA4IOX

More information

Scan Converter Quick Installation Guide

Scan Converter Quick Installation Guide Scan Converter Quick Installation Guide Software Note: No software is required to use your scan converter. Please complete the hardware installation and system setup before you determine the need to the

More information

Logic Controls LV3000 VGA Connected Virtual Pole Display

Logic Controls LV3000 VGA Connected Virtual Pole Display One Blue Hill Plaza, 16 th Floor, PO Box 1546 Pearl River, NY 10965 1-800-PC-AMERICA, 1-800-722-6374 (Voice) 845-920-0800 (Fax) 845-920-0880 Logic Controls LV3000 VGA Connected Virtual Pole Display This

More information

THDB_ADA. High-Speed A/D and D/A Development Kit

THDB_ADA. High-Speed A/D and D/A Development Kit THDB_ADA High-Speed A/D and D/A Development Kit With complete reference design and source code for Fast-Fourier Transform analysis and arbitrary waveform generator. 1 CONTENTS Chapter 1 About the Kit...2

More information

SERDES Eye/Backplane Demo for the LatticeECP3 Versa Evaluation Board User s Guide

SERDES Eye/Backplane Demo for the LatticeECP3 Versa Evaluation Board User s Guide SERDES Eye/Backplane Demo for the LatticeECP3 Versa Evaluation Board User s Guide May 2011 UG44_01.1 Introduction This document provides technical information and instructions on using the LatticeECP3

More information

ViewCommander- NVR Version 3. User s Guide

ViewCommander- NVR Version 3. User s Guide ViewCommander- NVR Version 3 User s Guide The information in this manual is subject to change without notice. Internet Video & Imaging, Inc. assumes no responsibility or liability for any errors, inaccuracies,

More information

B2 Spice A/D Tutorial Author: B. Mealy revised: July 27, 2006

B2 Spice A/D Tutorial Author: B. Mealy revised: July 27, 2006 B2 Spice A/D Tutorial Author: B. Mealy revised: July 27, 2006 The B 2 Spice A/D software allows for the simulation of digital, analog, and hybrid circuits. CPE 169, however, is only concerned with the

More information

Operating Instructions

Operating Instructions Operating Instructions HAEFELY TEST AG KIT Measurement Software Version 1.0 KIT / En Date Version Responsable Changes / Reasons February 2015 1.0 Initial version WARNING Introduction i Before operating

More information

AC335A. VGA-Video Ultimate Plus BLACK BOX Back Panel View. Remote Control. Side View MOUSE DC IN OVERLAY

AC335A. VGA-Video Ultimate Plus BLACK BOX Back Panel View. Remote Control. Side View MOUSE DC IN OVERLAY AC335A BLACK BOX 724-746-5500 VGA-Video Ultimate Plus Position OVERLAY MIX POWER FREEZE ZOOM NTSC/PAL SIZE GENLOCK POWER DC IN MOUSE MIC IN AUDIO OUT VGA IN/OUT (MAC) Remote Control Back Panel View RGB

More information

Leveraging Reconfigurability to Raise Productivity in FPGA Functional Debug

Leveraging Reconfigurability to Raise Productivity in FPGA Functional Debug Leveraging Reconfigurability to Raise Productivity in FPGA Functional Debug Abstract We propose new hardware and software techniques for FPGA functional debug that leverage the inherent reconfigurability

More information

Part 1 Basic Operation

Part 1 Basic Operation This product is a designed for video surveillance video encode and record, it include H.264 video Compression, large HDD storage, network, embedded Linux operate system and other advanced electronic technology,

More information

Quick Help Teaching Room Technology Support

Quick Help Teaching Room Technology Support Quick Help Teaching Room Technology Support Technical assistance is available. If you require assistance, please call Ext 6066 Quick Help Technology Overview INDEX INDEX Touch Screen Is not active 3 Technology

More information

Positive Attendance. Overview What is Positive Attendance? Who may use Positive Attendance? How does the Positive Attendance option work?

Positive Attendance. Overview What is Positive Attendance? Who may use Positive Attendance? How does the Positive Attendance option work? Positive Attendance Overview What is Positive Attendance? Who may use Positive Attendance? How does the Positive Attendance option work? Setup Security Codes Absence Types Absence Reasons Attendance Periods/Bell

More information

D-Lab & D-Lab Control Plan. Measure. Analyse. User Manual

D-Lab & D-Lab Control Plan. Measure. Analyse. User Manual D-Lab & D-Lab Control Plan. Measure. Analyse User Manual Valid for D-Lab Versions 2.0 and 2.1 September 2011 Contents Contents 1 Initial Steps... 6 1.1 Scope of Supply... 6 1.1.1 Optional Upgrades... 6

More information

TELEVISION. Star Plans. Interactive Guide and DVR (Digital Video Recorder) Manual ARVIG arvig.net

TELEVISION. Star Plans. Interactive Guide and DVR (Digital Video Recorder) Manual ARVIG arvig.net TELEVISION Star Plans Interactive Guide and DVR (Digital Video Recorder) Manual 888.99.ARVIG arvig.net TABLE OF CONTENTS DVR Remote Control Button Features...3 Arvig Digital TV i-guide Quick Reference

More information

LabView Exercises: Part III

LabView Exercises: Part III Physics 3100 Electronics, Fall 2008, Digital Circuits 1 LabView Exercises: Part III The working VIs should be handed in to the TA at the end of the lab. This is a lab under development so we may experience

More information

Getting Started Guide for the V Series

Getting Started Guide for the V Series product pic here Getting Started Guide for the V Series Version 9.0.6 March 2010 Edition 3725-24476-003/A Trademark Information POLYCOM, the Polycom Triangles logo and the names and marks associated with

More information

Topic: Instructional David G. Thomas December 23, 2015

Topic: Instructional David G. Thomas December 23, 2015 Procedure to Setup a 3ɸ Linear Motor This is a guide to configure a 3ɸ linear motor using either analog or digital encoder feedback with an Elmo Gold Line drive. Topic: Instructional David G. Thomas December

More information