Methodology. Nitin Chawla,Harvinder Singh & Pascal Urard. STMicroelectronics

Size: px
Start display at page:

Download "Methodology. Nitin Chawla,Harvinder Singh & Pascal Urard. STMicroelectronics"

Transcription

1 An Algorithm to Silicon ESL Design Methodology Nitin Chawla,Harvinder Singh & Pascal Urard STMicroelectronics

2 SOC Design Challenges:Increased Complexity k 5k 5k 3k 45k 8k 5k 3k 6k.2M #Gates / Die (5mm2) conservative numbers 5K 25K 75k.5M 2.2M 4M 7.5M 5M 3M 6M #Gates per Designer per year 4k 6k 9k 4k 56k 9k 25k 2k 2k 2k Men / Years per 5 mm2 Die ~ ~4 ~8 ~4 ~4 ~43 ~6 ~75 ~5 ~3 Need to improve design productivity DAC 29: User Track Nitin Chawla STMicroelectronics

3 SOC Design Challenges: System to Implementation barrier 5. Understand specification J. Gerlach DAC 29: User Track Nitin Chawla STMicroelectronics

4 Need an ESL Vision:Extending High Level Synthesis Single digital models for algorithmic and architecture exploration Executable Specs RF Analog coarse model chain analysis Encapsulate C/C++ IPs Refine spec c chain Stream block block2 block3 block4 block5 Output HLS Formal proof RTL chain Stream block block2 block3 block4 block5 Output DAC 29: User Track Nitin Chawla STMicroelectronics

5 Design Space Exploration: Beyond standalone HLS Area/Power/Parameters Raising level of productivity Algorithmic + Architectural Design Space Exploration Best manual solution Design space Current HLS allows better exploration but limited to local minima DAC 29: User Track Nitin Chawla STMicroelectronics

6 ESL Design Flow:Step Single digital models for algorithmic and architecture exploration Executable Specs RF Analog coarse model chain analysis Encapsulate C/C++ IPs Refine spec c chain Stream block block2 block3 block4 block5 Output HLS Formal proof RTL chain Stream block block2 block3 block4 block5 Output DAC 29: User Track Nitin Chawla STMicroelectronics

7 Template class for N stage DIF Streaming FFT Serial input input v) - W output v) input v) - output v) W input v) - W output v) input 2) - W output 2) input ) - W output ) Serial output v-2 v- buffer N-th stage v=2 N- v-2 v- buffer (N-)th stage v=2 N-2 v-2 v- buffer n-th stage v=2 n- buffer 2-nd stage buffer st stage N objects of stage class Stage class input samples > = v) output samples > = v) <stage computation precision> <stage input precision> Serial input - W Serial output <stage output precision> v-2 v- buffer Nth stage v=2 n- <stage number N> DAC 29: User Track Nitin Chawla STMicroelectronics

8 ESL Design Flow:Step 2 Single digital models for algorithmic and architecture exploration Executable Specs RF Analog coarse model chain analysis Encapsulate C/C++ IPs Refine spec c chain Stream block block2 block3 block4 block5 Output HLS Formal proof RTL chain Stream block block2 block3 block4 block5 Output DAC 29: User Track Nitin Chawla STMicroelectronics

9 Model Based Design System Model creates the Executable Specification. System Model is the center of the development process and enables Specification Capture. Block level partitioning and assembly. Continuous test and Verification. Block level reuse. Common design environment. Examples Simulink(Mathworks) ADS/SystemVue(Agilent) DAC 29: User Track Nitin Chawla STMicroelectronics

10 Single Source Model Based Design: Simulink S-function Encapsulation void block(ac_channel<type_a> &input, ac_channel<type_b> &output) HLS C++ void block_wrapper(double input[n], double output[n]) Matlab supported native datatype interface wrapper S function structure defination Legacy_code( sfcn_cmex_generate,def); Legacy_code( compile,def); MATLAB ENVIRONMENT Simulink Source sfn_block S-function Simulink sink SIMULINK ENVIRONMENT Simulink block DAC 29: User Track Nitin Chawla STMicroelectronics - 29

11 Numerical Refinement for Noise Budgets :SQNR vs I/P Signal PAPR for an FFT No Noise modulation based On I/P Signal PAPR Sharp fall in SQNR Due to clipping for I/P PAPR < 6 db PAPR:Peak to Average Power Ratio DAC 29: User Track Nitin Chawla STMicroelectronics - 29

12 ESL Design Flow:Step 3 Single digital models for algorithmic and architecture exploration Executable Specs RF Analog coarse model chain analysis Encapsulate C/C++ IPs Refine spec c chain Stream block block2 block3 block4 block5 Output HLS Formal proof RTL chain Stream block block2 block3 block4 block5 Output DAC 29: User Track Nitin Chawla STMicroelectronics

13 HLS Explorations: Area, Throughput tradeoff Area, Throughput samples / n cycles II = n Area =~ a/n sample/cycle II= Area = a n samples / cycle II= Unroll n (main loop) DAC 29: User Track Nitin Chawla STMicroelectronics

14 Unfolded Architecture: Stage Implementation input v) output v) Parallelism Achieved by loop unrolling M parallel Inputs butterfly M parallel outputs Multi banked buffer (MX(2^n-/M) n-th stage of radix 2 sdf FFT unfolded by M DAC 29: User Track Nitin Chawla STMicroelectronics

15 Multimillion Gate GS/s Frequency Domain Processor N/2 N/2 N/2 N/2 FFT Filter Channel Shifter Shifter IFFT N/2 N/2 N/2 N/2 N N N N N/2 N/2 N/2 Interleaver FFT Filter Channel Shifter Shifter IFFT N/2 N/2 N/2 Add block Frequency mask Channel shift value Unfolded by (4) systolic 248 pt FFT/IFFT. Interblock FIFO communication. DAC 29: User Track Nitin Chawla STMicroelectronics

16 Physical Prototyping at ESL Level In ASIC technologies of 65nm and below path delays are wire dominated. Most Signal Processing applications use lot of compiler generated memory cuts. Memory Architecture Choices at the ESL level are simply made on the basis of BandWidth and Ports. But Memory cuts create routing blockages and wire detours. In the end it s the Silicon area post P&R that matters. DAC 29: User Track Nitin Chawla STMicroelectronics

17 Physical prototyping: Memory Architecture exploration 4 RAMS (Width 4X) SKEWED ASPECT RATIO NARROW & DEEP ROUTING CHANNELS - HUGE ROUTING CONGESTION (Width 4X) replaced with 4 (Width X) RAMS CREATION OF NEW ROUTING CHANNELS MEMORY AREA INCREASES CORE UTILIZATION IMPROVES BY 3% POST P&R AREA IMPROVES BY 2% DAC 29: User Track Nitin Chawla STMicroelectronics

18 Design Productivity vs Manual RTL X % 5X X t /2X Behavioral IP Reuse, further improves design productivity DAC 29: User Track Nitin Chawla STMicroelectronics

19 Conclusion ESL synthesis can successfully build production worthy multi million gate complex application engines from untimed C/C++ algorithmic models. Key benefits of ESL Synthesis Increased design productivity and faster time to market Flexibility and scalability to try alternative architectures Better QOR vs Hand Coded design due to enhanced Design Space Exploration DAC 29: User Track Nitin Chawla STMicroelectronics

High Performance Microprocessor Design and Automation: Overview, Challenges and Opportunities IBM Corporation

High Performance Microprocessor Design and Automation: Overview, Challenges and Opportunities IBM Corporation High Performance Microprocessor Design and Automation: Overview, Challenges and Opportunities Introduction About Myself What to expect out of this lecture Understand the current trend in the IC Design

More information

Sharif University of Technology. SoC: Introduction

Sharif University of Technology. SoC: Introduction SoC Design Lecture 1: Introduction Shaahin Hessabi Department of Computer Engineering System-on-Chip System: a set of related parts that act as a whole to achieve a given goal. A system is a set of interacting

More information

Digital to Mixed-Signal Verification of Power Management SOCs Using Questa-ADMS. M. Behaghel

Digital to Mixed-Signal Verification of Power Management SOCs Using Questa-ADMS. M. Behaghel Digital to Mixed-Signal Verification of Power Management SOCs Using Questa-ADMS M. Behaghel A global leader in wireless technologies Leading supplier of platforms and semiconductors for wireless devices

More information

TKK S ASIC-PIIRIEN SUUNNITTELU

TKK S ASIC-PIIRIEN SUUNNITTELU Design TKK S-88.134 ASIC-PIIRIEN SUUNNITTELU Design Flow 3.2.2005 RTL Design 10.2.2005 Implementation 7.4.2005 Contents 1. Terminology 2. RTL to Parts flow 3. Logic synthesis 4. Static Timing Analysis

More information

Co-simulation Techniques for Mixed Signal Circuits

Co-simulation Techniques for Mixed Signal Circuits Co-simulation Techniques for Mixed Signal Circuits Tudor Timisescu Technische Universität München Abstract As designs grow more and more complex, there is increasing effort spent on verification. Most

More information

FPGA Development for Radar, Radio-Astronomy and Communications

FPGA Development for Radar, Radio-Astronomy and Communications John-Philip Taylor Room 7.03, Department of Electrical Engineering, Menzies Building, University of Cape Town Cape Town, South Africa 7701 Tel: +27 82 354 6741 email: tyljoh010@myuct.ac.za Internet: http://www.uct.ac.za

More information

Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow

Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow Bradley R. Quinton*, Mark R. Greenstreet, Steven J.E. Wilton*, *Dept. of Electrical and Computer Engineering, Dept.

More information

Certus TM Silicon Debug: Don t Prototype Without It by Doug Amos, Mentor Graphics

Certus TM Silicon Debug: Don t Prototype Without It by Doug Amos, Mentor Graphics Certus TM Silicon Debug: Don t Prototype Without It by Doug Amos, Mentor Graphics FPGA PROTOTYPE RUNNING NOW WHAT? Well done team; we ve managed to get 100 s of millions of gates of FPGA-hostile RTL running

More information

Digitally Assisted Analog Circuits. Boris Murmann Stanford University Department of Electrical Engineering

Digitally Assisted Analog Circuits. Boris Murmann Stanford University Department of Electrical Engineering Digitally Assisted Analog Circuits Boris Murmann Stanford University Department of Electrical Engineering murmann@stanford.edu Motivation Outline Progress in digital circuits has outpaced performance growth

More information

A video signal processor for motioncompensated field-rate upconversion in consumer television

A video signal processor for motioncompensated field-rate upconversion in consumer television A video signal processor for motioncompensated field-rate upconversion in consumer television B. De Loore, P. Lippens, P. Eeckhout, H. Huijgen, A. Löning, B. McSweeney, M. Verstraelen, B. Pham, G. de Haan,

More information

EITF35: Introduction to Structured VLSI Design

EITF35: Introduction to Structured VLSI Design EITF35: Introduction to Structured VLSI Design Part 4.2.1: Learn More Liang Liu liang.liu@eit.lth.se 1 Outline Crossing clock domain Reset, synchronous or asynchronous? 2 Why two DFFs? 3 Crossing clock

More information

Verification Methodology for a Complex System-on-a-Chip

Verification Methodology for a Complex System-on-a-Chip UDC 621.3.049.771.14.001.63 Verification Methodology for a Complex System-on-a-Chip VAkihiro Higashi VKazuhide Tamaki VTakayuki Sasaki (Manuscript received December 1, 1999) Semiconductor technology has

More information

DC Ultra. Concurrent Timing, Area, Power and Test Optimization. Overview

DC Ultra. Concurrent Timing, Area, Power and Test Optimization. Overview DATASHEET DC Ultra Concurrent Timing, Area, Power and Test Optimization DC Ultra RTL synthesis solution enables users to meet today s design challenges with concurrent optimization of timing, area, power

More information

Layout Decompression Chip for Maskless Lithography

Layout Decompression Chip for Maskless Lithography Layout Decompression Chip for Maskless Lithography Borivoje Nikolić, Ben Wild, Vito Dai, Yashesh Shroff, Benjamin Warlick, Avideh Zakhor, William G. Oldham Department of Electrical Engineering and Computer

More information

FPGA Prototyping using Behavioral Synthesis for Improving Video Processing Algorithm and FHD TV SoC Design Masaru Takahashi

FPGA Prototyping using Behavioral Synthesis for Improving Video Processing Algorithm and FHD TV SoC Design Masaru Takahashi FPGA Prototyping using Behavioral Synthesis for Improving Video Processing Algorithm and FHD TV SoC Design Masaru Takahashi SoC Software Platform Division, Renesas Electronics Corporation January 28, 2011

More information

Designing for the Internet of Things with Cadence PSpice A/D Technology

Designing for the Internet of Things with Cadence PSpice A/D Technology Designing for the Internet of Things with Cadence PSpice A/D Technology By Alok Tripathi, Software Architect, Cadence The Cadence PSpice A/D release 17.2-2016 offers a comprehensive feature set to address

More information

This paper is a preprint of a paper accepted by Electronics Letters and is subject to Institution of Engineering and Technology Copyright.

This paper is a preprint of a paper accepted by Electronics Letters and is subject to Institution of Engineering and Technology Copyright. This paper is a preprint of a paper accepted by Electronics Letters and is subject to Institution of Engineering and Technology Copyright. The final version is published and available at IET Digital Library

More information

Modeling and Implementing Software-Defined Radio Communication Systems on FPGAs Puneet Kumar Senior Team Lead - SPC

Modeling and Implementing Software-Defined Radio Communication Systems on FPGAs Puneet Kumar Senior Team Lead - SPC Modeling and Implementing Software-Defined Radio Communication Systems on FPGAs Puneet Kumar Senior Team Lead - SPC 2012 The MathWorks, Inc. 1 Agenda Integrated Model-Based Design to Implement SDR on FPGA

More information

Boolean, 1s and 0s stuff: synthesis, verification, representation This is what happens in the front end of the ASIC design process

Boolean, 1s and 0s stuff: synthesis, verification, representation This is what happens in the front end of the ASIC design process (Lec 11) From Logic To Layout What you know... Boolean, 1s and 0s stuff: synthesis, verification, representation This is what happens in the front end of the ASIC design process High-level design description

More information

Radar Signal Processing Final Report Spring Semester 2017

Radar Signal Processing Final Report Spring Semester 2017 Radar Signal Processing Final Report Spring Semester 2017 Full report report by Brian Larson Other team members, Grad Students: Mohit Kumar, Shashank Joshil Department of Electrical and Computer Engineering

More information

Why FPGAs? FPGA Overview. Why FPGAs?

Why FPGAs? FPGA Overview. Why FPGAs? Transistor-level Logic Circuits Positive Level-sensitive EECS150 - Digital Design Lecture 3 - Field Programmable Gate Arrays (FPGAs) January 28, 2003 John Wawrzynek Transistor Level clk clk clk Positive

More information

ESE534: Computer Organization. Today. Image Processing. Retiming Demand. Preclass 2. Preclass 2. Retiming Demand. Day 21: April 14, 2014 Retiming

ESE534: Computer Organization. Today. Image Processing. Retiming Demand. Preclass 2. Preclass 2. Retiming Demand. Day 21: April 14, 2014 Retiming ESE534: Computer Organization Today Retiming Demand Folded Computation Day 21: April 14, 2014 Retiming Logical Pipelining Physical Pipelining Retiming Supply Technology Structures Hierarchy 1 2 Image Processing

More information

nmos transistor Basics of VLSI Design and Test Solution: CMOS pmos transistor CMOS Inverter First-Order DC Analysis CMOS Inverter: Transient Response

nmos transistor Basics of VLSI Design and Test Solution: CMOS pmos transistor CMOS Inverter First-Order DC Analysis CMOS Inverter: Transient Response nmos transistor asics of VLSI Design and Test If the gate is high, the switch is on If the gate is low, the switch is off Mohammad Tehranipoor Drain ECE495/695: Introduction to Hardware Security & Trust

More information

FPGA Hardware Resource Specific Optimal Design for FIR Filters

FPGA Hardware Resource Specific Optimal Design for FIR Filters International Journal of Computer Engineering and Information Technology VOL. 8, NO. 11, November 2016, 203 207 Available online at: www.ijceit.org E-ISSN 2412-8856 (Online) FPGA Hardware Resource Specific

More information

A Low-Power 0.7-V H p Video Decoder

A Low-Power 0.7-V H p Video Decoder A Low-Power 0.7-V H.264 720p Video Decoder D. Finchelstein, V. Sze, M.E. Sinangil, Y. Koken, A.P. Chandrakasan A-SSCC 2008 Outline Motivation for low-power video decoders Low-power techniques pipelining

More information

Syed Muhammad Yasser Sherazi CURRICULUM VITAE

Syed Muhammad Yasser Sherazi CURRICULUM VITAE Syed Muhammad Yasser Sherazi Date of Birth: 16th July 1982 Adress: Rydvagen 104A, 58431 Linköping, Sweden Cell: 0046762323697 E-post: smy_sherazi@yahoo.com Objective CURRICULUM VITAE To obtain a position

More information

EMI/EMC diagnostic and debugging

EMI/EMC diagnostic and debugging EMI/EMC diagnostic and debugging 1 Introduction to EMI The impact of Electromagnetism Even on a simple PCB circuit, Magnetic & Electric Field are generated as long as current passes through the conducting

More information

Experiment: FPGA Design with Verilog (Part 4)

Experiment: FPGA Design with Verilog (Part 4) Department of Electrical & Electronic Engineering 2 nd Year Laboratory Experiment: FPGA Design with Verilog (Part 4) 1.0 Putting everything together PART 4 Real-time Audio Signal Processing In this part

More information

Prototyping an ASIC with FPGAs. By Rafey Mahmud, FAE at Synplicity.

Prototyping an ASIC with FPGAs. By Rafey Mahmud, FAE at Synplicity. Prototyping an ASIC with FPGAs By Rafey Mahmud, FAE at Synplicity. With increased capacity of FPGAs and readily available off-the-shelf prototyping boards sporting multiple FPGAs, it has become feasible

More information

Data Converters and DSPs Getting Closer to Sensors

Data Converters and DSPs Getting Closer to Sensors Data Converters and DSPs Getting Closer to Sensors As the data converters used in military applications must operate faster and at greater resolution, the digital domain is moving closer to the antenna/sensor

More information

Embedded Signal Processing with the Micro Signal Architecture

Embedded Signal Processing with the Micro Signal Architecture LabVIEW Experiments and Appendix Accompanying Embedded Signal Processing with the Micro Signal Architecture By Dr. Woon-Seng S. Gan, Dr. Sen M. Kuo 2006 John Wiley and Sons, Inc. National Instruments Contributors

More information

Future of Analog Design and Upcoming Challenges in Nanometer CMOS

Future of Analog Design and Upcoming Challenges in Nanometer CMOS Future of Analog Design and Upcoming Challenges in Nanometer CMOS Greg Taylor VLSI Design 2010 Outline Introduction Logic processing trends Analog design trends Analog design challenge Approaches Conclusion

More information

RFI MITIGATING RECEIVER BACK-END FOR RADIOMETERS

RFI MITIGATING RECEIVER BACK-END FOR RADIOMETERS RFI MITIGATING RECEIVER BACK-END FOR RADIOMETERS Phaneendra Bikkina 1, Qingjun Fan 2, Wenlan Wu 1, Jinghong Chen 2 and Esko Mikkola 1 1 Alphacore, Inc., 2 University of Houston 2017 CASPER Workshop Pasadena,

More information

Tiptop audio z-dsp.

Tiptop audio z-dsp. Tiptop audio z-dsp www.tiptopaudio.com Introduction Welcome to the world of digital signal processing! The Z-DSP is a modular synthesizer component that can process and generate audio using a dedicated

More information

Introduction to The Design of Mixed-Signal Systems on Chip 1

Introduction to The Design of Mixed-Signal Systems on Chip 1 Introduction to The Design of Mixed-Signal Systems on Chip 1 Ken Kundert Cadence Design Systems Design of Mixed-Signal Systems on Chip 35 th Design Automation Conference, 1998 Henry Chang Felicia James

More information

Figure 1: Feature Vector Sequence Generator block diagram.

Figure 1: Feature Vector Sequence Generator block diagram. 1 Introduction Figure 1: Feature Vector Sequence Generator block diagram. We propose designing a simple isolated word speech recognition system in Verilog. Our design is naturally divided into two modules.

More information

System IC Design: Timing Issues and DFT. Hung-Chih Chiang

System IC Design: Timing Issues and DFT. Hung-Chih Chiang System IC esign: Timing Issues and FT Hung-Chih Chiang Outline SoC Timing Issues Timing terminologies Synchronous vs. asynchronous design Interfaces and timing closure Clocking issues Reset esign for Testability

More information

Using on-chip Test Pattern Compression for Full Scan SoC Designs

Using on-chip Test Pattern Compression for Full Scan SoC Designs Using on-chip Test Pattern Compression for Full Scan SoC Designs Helmut Lang Senior Staff Engineer Jens Pfeiffer CAD Engineer Jeff Maguire Principal Staff Engineer Motorola SPS, System-on-a-Chip Design

More information

ESE (ESE534): Computer Organization. Last Time. Today. Last Time. Align Data / Balance Paths. Retiming in the Large

ESE (ESE534): Computer Organization. Last Time. Today. Last Time. Align Data / Balance Paths. Retiming in the Large ESE680-002 (ESE534): Computer Organization Day 20: March 28, 2007 Retiming 2: Structures and Balance Last Time Saw how to formulate and automate retiming: start with network calculate minimum achievable

More information

White Paper. Mixed Signal Design & Verification Methodology for Complex SoCs

White Paper. Mixed Signal Design & Verification Methodology for Complex SoCs Mixed Signal Design & Verification Methodology for Complex SoCs White Paper The contents of this document are owned or controlled by S3 Group and are protected under applicable copyright and/or trademark

More information

EECS150 - Digital Design Lecture 10 - Interfacing. Recap and Topics

EECS150 - Digital Design Lecture 10 - Interfacing. Recap and Topics EECS150 - Digital Design Lecture 10 - Interfacing Oct. 1, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy of Prof. John Wawrzynek)

More information

HiPAcc-LTE: An Integrated High Performance Accelerator for 3GPP LTE Stream Ciphers

HiPAcc-LTE: An Integrated High Performance Accelerator for 3GPP LTE Stream Ciphers HiPAcc-LTE: An Integrated High Performance Accelerator for 3GPP LTE Stream Ciphers Sourav Sen Gupta1, Anupam Chattopadhyay2, Ayesha Khalid2 1. Applied Statistics Unit, Indian Statistical Institute, Kolkata,

More information

6.3 Sequential Circuits (plus a few Combinational)

6.3 Sequential Circuits (plus a few Combinational) 6.3 Sequential Circuits (plus a few Combinational) Logic Gates: Fundamental Building Blocks Introduction to Computer Science Robert Sedgewick and Kevin Wayne Copyright 2005 http://www.cs.princeton.edu/introcs

More information

Digital Correction for Multibit D/A Converters

Digital Correction for Multibit D/A Converters Digital Correction for Multibit D/A Converters José L. Ceballos 1, Jesper Steensgaard 2 and Gabor C. Temes 1 1 Dept. of Electrical Engineering and Computer Science, Oregon State University, Corvallis,

More information

VHDL Design and Implementation of FPGA Based Logic Analyzer: Work in Progress

VHDL Design and Implementation of FPGA Based Logic Analyzer: Work in Progress VHDL Design and Implementation of FPGA Based Logic Analyzer: Work in Progress Nor Zaidi Haron Ayer Keroh +606-5552086 zaidi@utem.edu.my Masrullizam Mat Ibrahim Ayer Keroh +606-5552081 masrullizam@utem.edu.my

More information

At-speed Testing of SOC ICs

At-speed Testing of SOC ICs At-speed Testing of SOC ICs Vlado Vorisek, Thomas Koch, Hermann Fischer Multimedia Design Center, Semiconductor Products Sector Motorola Munich, Germany Abstract This paper discusses the aspects and associated

More information

Innovative Fast Timing Design

Innovative Fast Timing Design Innovative Fast Timing Design Solution through Simultaneous Processing of Logic Synthesis and Placement A new design methodology is now available that offers the advantages of enhanced logical design efficiency

More information

Enhancing Performance in Multiple Execution Unit Architecture using Tomasulo Algorithm

Enhancing Performance in Multiple Execution Unit Architecture using Tomasulo Algorithm Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology ISSN 2320 088X IMPACT FACTOR: 6.017 IJCSMC,

More information

An FPGA Platform for Demonstrating Embedded Vision Systems. Ariana Eisenstein

An FPGA Platform for Demonstrating Embedded Vision Systems. Ariana Eisenstein An FPGA Platform for Demonstrating Embedded Vision Systems by Ariana Eisenstein B.S., Massachusetts Institute of Technology (2015) Submitted to the Department of Electrical Engineering and Computer Science

More information

Low-Power Decimation Filter for 2.5 GHz Operation in Standard-Cell Implementation

Low-Power Decimation Filter for 2.5 GHz Operation in Standard-Cell Implementation Low-Power Decimation Filter for 2.5 GHz Operation in Standard-Cell Implementation Manfred Ley, Oleksandr Melnychenko Abstract A low-power decimation filter for very high-speed over-sampling analog to digital

More information

EE178 Spring 2018 Lecture Module 5. Eric Crabill

EE178 Spring 2018 Lecture Module 5. Eric Crabill EE178 Spring 2018 Lecture Module 5 Eric Crabill Goals Considerations for synchronizing signals Clocks Resets Considerations for asynchronous inputs Methods for crossing clock domains Clocks The academic

More information

Reconfigurable FPGA Implementation of FIR Filter using Modified DA Method

Reconfigurable FPGA Implementation of FIR Filter using Modified DA Method Reconfigurable FPGA Implementation of FIR Filter using Modified DA Method M. Backia Lakshmi 1, D. Sellathambi 2 1 PG Student, Department of Electronics and Communication Engineering, Parisutham Institute

More information

PHOTOTUBE SCANNING SETUP AT THE UNIVERSITY OF MARYLAND. Doug Roberts U of Maryland, College Park

PHOTOTUBE SCANNING SETUP AT THE UNIVERSITY OF MARYLAND. Doug Roberts U of Maryland, College Park PHOTOTUBE SCANNING SETUP AT THE UNIVERSITY OF MARYLAND Doug Roberts U of Maryland, College Park Overview We have developed a system for measuring and scanning phototubes for the FDIRC Based primarily on

More information

DT9834 Series High-Performance Multifunction USB Data Acquisition Modules

DT9834 Series High-Performance Multifunction USB Data Acquisition Modules DT9834 Series High-Performance Multifunction USB Data Acquisition Modules DT9834 Series High Performance, Multifunction USB DAQ Key Features: Simultaneous subsystem operation on up to 32 analog input channels,

More information

Authentic Time Hardware Co-simulation of Edge Discovery for Video Processing System

Authentic Time Hardware Co-simulation of Edge Discovery for Video Processing System Authentic Time Hardware Co-simulation of Edge Discovery for Video Processing System R. NARESH M. Tech Scholar, Dept. of ECE R. SHIVAJI Assistant Professor, Dept. of ECE PRAKASH J. PATIL Head of Dept.ECE,

More information

AND8383/D. Introduction to Audio Processing Using the WOLA Filterbank Coprocessor APPLICATION NOTE

AND8383/D. Introduction to Audio Processing Using the WOLA Filterbank Coprocessor APPLICATION NOTE Introduction to Audio Processing Using the WOLA Filterbank Coprocessor APPLICATION NOTE This application note is applicable to: Toccata Plus, BelaSigna 200, Orela 4500 Series INTRODUCTION The Toccata Plus,

More information

OF AN ADVANCED LUT METHODOLOGY BASED FIR FILTER DESIGN PROCESS

OF AN ADVANCED LUT METHODOLOGY BASED FIR FILTER DESIGN PROCESS IMPLEMENTATION OF AN ADVANCED LUT METHODOLOGY BASED FIR FILTER DESIGN PROCESS 1 G. Sowmya Bala 2 A. Rama Krishna 1 PG student, Dept. of ECM. K.L.University, Vaddeswaram, A.P, India, 2 Assistant Professor,

More information

EX04-000D. DVB-T/2xT/T2 MODULATOR DVB MODULATOR

EX04-000D. DVB-T/2xT/T2 MODULATOR DVB MODULATOR EX04-000D DVB-T/2xT/T2 MODULATOR Key Features Capable of transmitting one DVB-T2 or two independent DVB-T signals at the same time. In full compliance with the last version of EN300744 (DVB-T) and EN302755

More information

Performance Modeling and Noise Reduction in VLSI Packaging

Performance Modeling and Noise Reduction in VLSI Packaging Performance Modeling and Noise Reduction in VLSI Packaging Ph.D. Defense Brock J. LaMeres University of Colorado October 7, 2005 October 7, 2005 Performance Modeling and Noise Reduction in VLSI Packaging

More information

CacheCompress A Novel Approach for Test Data Compression with cache for IP cores

CacheCompress A Novel Approach for Test Data Compression with cache for IP cores CacheCompress A Novel Approach for Test Data Compression with cache for IP cores Hao Fang ( 方昊 ) fanghao@mprc.pku.edu.cn Rizhao, ICDFN 07 20/08/2007 To be appeared in ICCAD 07 Sections Introduction Our

More information

Design and analysis of microcontroller system using AMBA- Lite bus

Design and analysis of microcontroller system using AMBA- Lite bus Design and analysis of microcontroller system using AMBA- Lite bus Wang Hang Suan 1,*, and Asral Bahari Jambek 1 1 School of Microelectronic Engineering, Universiti Malaysia Perlis, Perlis, Malaysia Abstract.

More information

Timing EECS141 EE141. EE141-Fall 2011 Digital Integrated Circuits. Pipelining. Administrative Stuff. Last Lecture. Latch-Based Clocking.

Timing EECS141 EE141. EE141-Fall 2011 Digital Integrated Circuits. Pipelining. Administrative Stuff. Last Lecture. Latch-Based Clocking. EE141-Fall 2011 Digital Integrated Circuits Lecture 2 Clock, I/O Timing 1 4 Administrative Stuff Pipelining Project Phase 4 due on Monday, Nov. 21, 10am Homework 9 Due Thursday, December 1 Visit to Intel

More information

Digital Video Engineering Professional Certification Competencies

Digital Video Engineering Professional Certification Competencies Digital Video Engineering Professional Certification Competencies I. Engineering Management and Professionalism A. Demonstrate effective problem solving techniques B. Describe processes for ensuring realistic

More information

RF Technology for 5G mmwave Radios

RF Technology for 5G mmwave Radios RF Technology for 5G mmwave Radios THOMAS CAMERON, PhD Director of Wireless Technology 09/27/2018 1 Agenda Brief 5G overview mmwave Deployment Path Loss Typical Link Budget Beamforming architectures Analog

More information

Lossless Compression Algorithms for Direct- Write Lithography Systems

Lossless Compression Algorithms for Direct- Write Lithography Systems Lossless Compression Algorithms for Direct- Write Lithography Systems Hsin-I Liu Video and Image Processing Lab Department of Electrical Engineering and Computer Science University of California at Berkeley

More information

L12: Reconfigurable Logic Architectures

L12: Reconfigurable Logic Architectures L12: Reconfigurable Logic Architectures Acknowledgements: Materials in this lecture are courtesy of the following sources and are used with permission. Frank Honore Prof. Randy Katz (Unified Microelectronics

More information

L11/12: Reconfigurable Logic Architectures

L11/12: Reconfigurable Logic Architectures L11/12: Reconfigurable Logic Architectures Acknowledgements: Materials in this lecture are courtesy of the following people and used with permission. - Randy H. Katz (University of California, Berkeley,

More information

Modeling Digital Systems with Verilog

Modeling Digital Systems with Verilog Modeling Digital Systems with Verilog Prof. Chien-Nan Liu TEL: 03-4227151 ext:34534 Email: jimmy@ee.ncu.edu.tw 6-1 Composition of Digital Systems Most digital systems can be partitioned into two types

More information

Efficient implementation of a spectrum scanner on a software-defined radio platform

Efficient implementation of a spectrum scanner on a software-defined radio platform Efficient implementation of a spectrum scanner on a software-defined radio platform François Quitin, Riccardo Pace Université libre de Bruxelles (ULB), Belgium 1 Context and objectives Regulators need

More information

DESIGN PHILOSOPHY We had a Dream...

DESIGN PHILOSOPHY We had a Dream... DESIGN PHILOSOPHY We had a Dream... The from-ground-up new architecture is the result of multiple prototype generations over the last two years where the experience of digital and analog algorithms and

More information

Amon: Advanced Mesh-Like Optical NoC

Amon: Advanced Mesh-Like Optical NoC Amon: Advanced Mesh-Like Optical NoC Sebastian Werner, Javier Navaridas and Mikel Luján Advanced Processor Technologies Group School of Computer Science The University of Manchester Bottleneck: On-chip

More information

Day 21: Retiming Requirements. ESE534: Computer Organization. Relative Sizes. Today. State. State Size

Day 21: Retiming Requirements. ESE534: Computer Organization. Relative Sizes. Today. State. State Size ESE534: Computer Organization Day 22: November 16, 2016 Retiming 1 Day 21: Retiming Requirements Retiming requirement depends on parallelism and performance Even with a given amount of parallelism Will

More information

LCD Display Wall Narrow Bezel Series

LCD Display Wall Narrow Bezel Series LCD Display Wall Narrow Bezel Series VTRON LCD Display Wall Narrow Bezel Series Unlimited Looping VTRON unlimited signal looping - Intelligent Signal compensation Without signal degradation to ensure good

More information

Research Article. Implementation of Low Power, Delay and Area Efficient Shifters for Memory Based Computation

Research Article. Implementation of Low Power, Delay and Area Efficient Shifters for Memory Based Computation International Journal of Modern Science and Technology Vol. 2, No. 5, 2017. Page 217-222. http://www.ijmst.co/ ISSN: 2456-0235. Research Article Implementation of Low Power, Delay and Area Efficient Shifters

More information

PEP-II longitudinal feedback and the low groupdelay. Dmitry Teytelman

PEP-II longitudinal feedback and the low groupdelay. Dmitry Teytelman PEP-II longitudinal feedback and the low groupdelay woofer Dmitry Teytelman 1 Outline I. PEP-II longitudinal feedback and the woofer channel II. Low group-delay woofer topology III. Why do we need a separate

More information

ADVANCES in semiconductor technology are contributing

ADVANCES in semiconductor technology are contributing 292 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 14, NO. 3, MARCH 2006 Test Infrastructure Design for Mixed-Signal SOCs With Wrapped Analog Cores Anuja Sehgal, Student Member,

More information

Powering Collaboration and Innovation in the Simulation Design Flow Agilent EEsof Design Forum 2010

Powering Collaboration and Innovation in the Simulation Design Flow Agilent EEsof Design Forum 2010 Powering Collaboration and Innovation in the Simulation Design Flow Agilent EEsof Design Forum 2010 Channel Simulator and AMI model support within ADS Page 1 Contributors to this Paper José Luis Pino,

More information

Upgrading a FIR Compiler v3.1.x Design to v3.2.x

Upgrading a FIR Compiler v3.1.x Design to v3.2.x Upgrading a FIR Compiler v3.1.x Design to v3.2.x May 2005, ver. 1.0 Application Note 387 Introduction This application note is intended for designers who have an FPGA design that uses the Altera FIR Compiler

More information

CS184a: Computer Architecture (Structures and Organization) Last Time

CS184a: Computer Architecture (Structures and Organization) Last Time CS184a: Computer Architecture (Structures and Organization) Day16: November 15, 2000 Retiming Structures Caltech CS184a Fall2000 -- DeHon 1 Last Time Saw how to formulate and automate retiming: start with

More information

Major Differences Between the DT9847 Series Modules

Major Differences Between the DT9847 Series Modules DT9847 Series Dynamic Signal Analyzer for USB With Low THD and Wide Dynamic Range The DT9847 Series are high-accuracy, dynamic signal acquisition modules designed for sound and vibration applications.

More information

Reconfigurable Architectures. Greg Stitt ECE Department University of Florida

Reconfigurable Architectures. Greg Stitt ECE Department University of Florida Reconfigurable Architectures Greg Stitt ECE Department University of Florida How can hardware be reconfigurable? Problem: Can t change fabricated chip ASICs are fixed Solution: Create components that can

More information

Introduction to Data Conversion and Processing

Introduction to Data Conversion and Processing Introduction to Data Conversion and Processing The proliferation of digital computing and signal processing in electronic systems is often described as "the world is becoming more digital every day." Compared

More information

Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Lecture No. # 29 Minimizing Switched Capacitance-III. (Refer

More information

IoT Technical foundation and use cases Anders P. Mynster, Senior Consultant High Tech summit DTU FORCE Technology at a glance

IoT Technical foundation and use cases Anders P. Mynster, Senior Consultant High Tech summit DTU FORCE Technology at a glance IoT Technical foundation and use cases Anders P. Mynster, apm@force.dk Senior Consultant High Tech summit DTU 2017 FORCE Technology at a glance Internet of Things devices everywhere! Gartners Hype cycle

More information

Tolerant Processor in 0.18 µm Commercial UMC Technology

Tolerant Processor in 0.18 µm Commercial UMC Technology The LEON-2 2 Fault- Tolerant Processor in 0.18 µm Commercial UMC Technology Microelectronics Presentation Days ESTEC, 4 5 February 2004 Roland Weigand European Space Agency Data Systems Division TOS-EDM

More information

ACT-R ACT-R. Core Components of the Architecture. Core Commitments of the Theory. Chunks. Modules

ACT-R ACT-R. Core Components of the Architecture. Core Commitments of the Theory. Chunks. Modules ACT-R & A 1000 Flowers ACT-R Adaptive Control of Thought Rational Theory of cognition today Cognitive architecture Programming Environment 2 Core Commitments of the Theory Modularity (and what the modules

More information

Large Area, High Speed Photo-detectors Readout

Large Area, High Speed Photo-detectors Readout Large Area, High Speed Photo-detectors Readout Jean-Francois Genat + On behalf and with the help of Herve Grabas +, Samuel Meehan +, Eric Oberla +, Fukun Tang +, Gary Varner ++, and Henry Frisch + + University

More information

LUT OPTIMIZATION USING COMBINED APC-OMS TECHNIQUE

LUT OPTIMIZATION USING COMBINED APC-OMS TECHNIQUE LUT OPTIMIZATION USING COMBINED APC-OMS TECHNIQUE S.Basi Reddy* 1, K.Sreenivasa Rao 2 1 M.Tech Student, VLSI System Design, Annamacharya Institute of Technology & Sciences (Autonomous), Rajampet (A.P),

More information

Calibrate, Characterize and Emulate Systems Using RFXpress in AWG Series

Calibrate, Characterize and Emulate Systems Using RFXpress in AWG Series Calibrate, Characterize and Emulate Systems Using RFXpress in AWG Series Introduction System designers and device manufacturers so long have been using one set of instruments for creating digitally modulated

More information

FPGA Design with VHDL

FPGA Design with VHDL FPGA Design with VHDL Justus-Liebig-Universität Gießen, II. Physikalisches Institut Ming Liu Dr. Sören Lange Prof. Dr. Wolfgang Kühn ming.liu@physik.uni-giessen.de Lecture Digital design basics Basic logic

More information

Design and Implementation of Partial Reconfigurable Fir Filter Using Distributed Arithmetic Architecture

Design and Implementation of Partial Reconfigurable Fir Filter Using Distributed Arithmetic Architecture Design and Implementation of Partial Reconfigurable Fir Filter Using Distributed Arithmetic Architecture Vinaykumar Bagali 1, Deepika S Karishankari 2 1 Asst Prof, Electrical and Electronics Dept, BLDEA

More information

SEMICONDUCTOR TECHNOLOGY -CMOS-

SEMICONDUCTOR TECHNOLOGY -CMOS- SEMICONDUCTOR TECHNOLOGY -CMOS- Fire Tom Wada 2011/12/19 1 What is semiconductor and LSIs Huge number of transistors can be integrated in a small Si chip. The size of the chip is roughly the size of nails.

More information

Interfacing the TLC5510 Analog-to-Digital Converter to the

Interfacing the TLC5510 Analog-to-Digital Converter to the Application Brief SLAA070 - April 2000 Interfacing the TLC5510 Analog-to-Digital Converter to the TMS320C203 DSP Perry Miller Mixed Signal Products ABSTRACT This application report is a summary of the

More information

OddCI: On-Demand Distributed Computing Infrastructure

OddCI: On-Demand Distributed Computing Infrastructure OddCI: On-Demand Distributed Computing Infrastructure Rostand Costa Francisco Brasileiro Guido Lemos Filho Dênio Mariz Sousa MTAGS 2nd Workshop on Many-Task Computing on Grids and Supercomputers Co-located

More information

Design and Implementation of SOC VGA Controller Using Spartan-3E FPGA

Design and Implementation of SOC VGA Controller Using Spartan-3E FPGA Design and Implementation of SOC VGA Controller Using Spartan-3E FPGA 1 ARJUNA RAO UDATHA, 2 B.SUDHAKARA RAO, 3 SUDHAKAR.B. 1 Dept of ECE, PG Scholar, 2 Dept of ECE, Associate Professor, 3 Electronics,

More information

Digital Signal Processing Detailed Course Outline

Digital Signal Processing Detailed Course Outline Digital Signal Processing Detailed Course Outline Lesson 1 - Overview Many digital signal processing algorithms emulate analog processes that have been around for decades. Other signal processes are only

More information

Registers. Unit 12 Registers and Counters. Registers (D Flip-Flop based) Register Transfers (example not out of text) Accumulator Registers

Registers. Unit 12 Registers and Counters. Registers (D Flip-Flop based) Register Transfers (example not out of text) Accumulator Registers Unit 2 Registers and Counters Fundamentals of Logic esign EE2369 Prof. Eric Maconald Fall Semester 23 Registers Groups of flip-flops Can contain data format can be unsigned, 2 s complement and other more

More information

GALILEO Timing Receiver

GALILEO Timing Receiver GALILEO Timing Receiver The Space Technology GALILEO Timing Receiver is a triple carrier single channel high tracking performances Navigation receiver, specialized for Time and Frequency transfer application.

More information

Hardware Implementation for the HEVC Fractional Motion Estimation Targeting Real-Time and Low-Energy

Hardware Implementation for the HEVC Fractional Motion Estimation Targeting Real-Time and Low-Energy Hardware Implementation for the HEVC Fractional Motion Estimation Targeting Real-Time and Low-Energy Vladimir Afonso 1-2, Henrique Maich 1, Luan Audibert 1, Bruno Zatt 1, Marcelo Porto 1, Luciano Agostini

More information

IQORXD Dual-Channel Multimode Fibre Optic Receiver for SDI

IQORXD Dual-Channel Multimode Fibre Optic Receiver for SDI Dual-Channel Multimode Fibre Optic Receiver for SDI CC Module Description The is a dual-channel fibre optic receiver for SDI. The unit takes two Multi-Mode optical inputs in accordance with SMPTE 297M

More information