SEMICON Europe October Pushing Lithography to the Limits. Patrick Wong imec

Size: px
Start display at page:

Download "SEMICON Europe October Pushing Lithography to the Limits. Patrick Wong imec"

Transcription

1 SEMICON Europe October 2009 Pushing Lithography to the Limits imec

2 Alternative double patterning processes : ready for (sub) 32nm hp? P. Wong, M. Maenhoudt, D. Vangoidsenhoven, V. Wiaux

3 Outline Introduction Litho-Process-Litho Process Development LPL process 32nm hp First 26nm hp Conclusions imec

4 Outline Introduction Litho-Process-Litho Process Development LPL process 32nm hp First 26nm hp Conclusions imec

5 Main options for 32nm 22nm HP and below NA-scaling k 1 -scaling resolution = k 1. λ-scaling λ NA High index n Glass = nm immersion n Air = 1.00 n Glass = with single exposure n H2O = 1.44 n fluid = 1.64 n H2O = nm Immersion MASK A with double patterning MASK B Extreme Mask Ultra-Violet (EUVL : 13.5 nm) Optics NA Max. NA is limited Min. index n min n Resist = NA HM POLY 0.25 NA Source Resist (k 1 < 0.3) (k 1 = ) (k 1 = ) Stops out after water Immersion Minimum L/S is 37nm Likely the only option for 32nm Not production ready imec

6 Double patterning alternatives LELE Litho 1 LPLE Litho 1 Etch 1 Process Litho 2 Litho 2 No topo Same BARC Etch 2 Etch imec

7 Litho-Etch-Litho-Etch (LELE) 2D compatible processes double line vs double trench DL most intuitive for Active, Poly layers DT most intuitive for Metal layers 32hp Pitch 90nm Overlap 30nm Nominal Gap 54nm imec

8 Motivation Why LPLE LELE expensive and time consuming Simpler process, DP can be done completely in litho cluster (depending on technique) 1 etch step less Reduced cost of ownership compared to LELE imec

9 Litho-Process-Litho-Etch Alternatives Litho1 Process trick Coat resist 2 Litho2 Etch HM removal on resist 1 Coat freezing layer Positive/Positive resist Vapour freeze Thermal freeze Implant freeze Possible in Litho cluster imec

10 Coat freezing & posi posi alternatives Coat Freezing Layer Litho1 overcoat +bake +develop Coat resist2 Litho2 Posi posi Litho1 hardbake Coat special resist2 Litho2 imec

11 Outline Introduction Litho-Process-Litho Process Development LPL process 32nm hp First 26nm hp Conclusions imec

12 32nm hp CDU & LWR performance for JSR coat freeze MCD51 P128 5 points per field 88 fields per wafer LWR Litho 1 LWR Litho 2 CDU Litho 1 CDU Litho 2 CDU data not corrected for reticle non uniformity NA=1.0, 0.85/0.65, Dipole 40X imec

13 32nm hp CDU & LWR performance for TOK posi posi MCD51 P128 5 points per field 88 fields per wafer LWR Litho 1 LWR Litho 2 CDU Litho 1 CDU Litho 2 CDU data not corrected for reticle non uniformity NA=1.0, 0.85/0.65, Dipole 40X imec

14 LPL CDU results through batch maps, 1 st,middle and last wafers Pattern 1 pattern1: mean = 33.5nm 3sigma = 1.4nm pattern2: mean =32.9nm 3sigma = 1.3nm Excellent through batch uniformity Pattern 2 5 points per field, 68 fields per wafer, 5mm Edge Excl. NA=1.0, 0.85/0.65, Dipole 40X imec

15 Posi posi NOR Pattern JSR LFLE 31nm Litho Etch 77nm MCD / 44nm DHP / 00OVL Mag:20k Pi mJ/cm2 45.3nm PP005Tr2 30.5mJ/cm2 40.2nm Non-optimised OPC TOK LPL Mag:150k NA=1.35, 0.8/0.5, Annular XY polarised imec

16 Coat freeze DP Defectivity Full Process Relative Comparison of Average SPT Defects with DP Defects Immersion Particles Bridging Protrusion Residues SPT Daily Monitor average DPT Actual defects comparable to average DM defects Main changes = increase in residues and bridging (more process optimisation required), reduction in immersion defects Immersion defects different manifestation 24% 29% 3% 16% 28% Immersion Particles Bridging Protrusion Residues imec

17 Outline Introduction Litho-Process-Litho Process Development LPL process 32nm hp First 26nm hp Conclusions imec

18 JSR Coat freeze 26nm hp Litho 2 Process Window NA=1.35, 0.747/0.626, Dipole 40X MCD39 P104 L2 FEM exposed on uniform L1 70nm PR for L1 & L2 Dose Group2 Doc: processwindowhonda.pd2 : AR090357_D04_L1_L2FEM_CD_Analysed_Gen F E ~180nm DoF, 28% EL, L1 average CD 27.4nm Focus imec

19 Outline Introduction Litho-Process-Litho Process Development LPL process 32nm hp First 26nm hp Conclusions imec

20 Conclusions 32nm hp LPLE Cost effective alternative processes show good litho performance, etch feasibility demonstrated, defectivity ok Excellent through batch and batch to batch process stability demonstrated Extendibility to 2D structures ongoing 26nm hp LPLE Feasibility at 26nm hp currently ongoing Resolution capability of alternatives towards 26nm hp demonstrated Overlay and pattern collapse become critical issues. imec

21 Acknowledgements Litho: G Murdoch, P. Foubert, D Van Den Heuvel, R Gronheid., T. Vandeweyer Etch: S. Locorotondo, M. Demand, E Altimirano Sanchez. Sokudo: C Rosslee, Y Theroude. TEL: S. Hatakeyama, K. Nafus, N. Bradon, M. McCarthy. Hitachi: T. Ishimoto. ENIAC J.U. LENS Project for funding imec

22 imec

Outline. Double Patterning 11/6/17. Motivation Techniques Future of Double Patterning. Rasha El-Jaroudi November 7 th

Outline. Double Patterning 11/6/17. Motivation Techniques Future of Double Patterning. Rasha El-Jaroudi November 7 th Double Patterning Rasha El-Jaroudi November 7 th 2017 reljaroudi@utexas.edu Outline Motivation Techniques Future of Double Patterning Rasha H. El-Jaroudi 2 1 Motivation Need to keep up with Moore s Law

More information

Self-Aligned Double Patterning for 3xnm Flash Production

Self-Aligned Double Patterning for 3xnm Flash Production Self-Aligned Double Patterning for 3xnm Flash Production Chris Ngai Dir of Process Engineering & Lithography Maydan Technology Center Group Applied Materials, Inc. July 16 th, 2008 Overview Double Patterning

More information

Readiness and Challenges of EUV Mask

Readiness and Challenges of EUV Mask Panel Discussion: EUVL HVM Insertion and Scaling Readiness and Challenges of EUV Mask Takashi Kamo Toshiba Corporation Semiconductor & Storage Products Company Contents [1] Introduction [2] EUV Mask Defect

More information

DOUBLE PATTERNING CHALLENGES FOR 20nm TECHNOLOGY

DOUBLE PATTERNING CHALLENGES FOR 20nm TECHNOLOGY DOUBLE PATTERNING CHALLENGES FOR 20nm TECHNOLOGY SEMICON DRESDEN TechARENA OCTOBER 12 th 2011 Vincent Farys, Bertrand Le-Gratiet, Pierre-Jérôme Goirand STMicroelectronics Crolles 2 OUTLINE Lithography

More information

COMPARISON OF EUV SINGLE EXPOSURE VS. 193i MULTIPLE PATTERING FOR N10 BEOL CHRISTOPHER J. WILSON

COMPARISON OF EUV SINGLE EXPOSURE VS. 193i MULTIPLE PATTERING FOR N10 BEOL CHRISTOPHER J. WILSON COMPARISON OF EUV SINGLE EXPOSURE VS. 193i MULTIPLE PATTERING FOR N10 BEOL CHRISTOPHER J. WILSON - CONTRIBUTORS FORM ADVANCED LITHO AND CU-LOW-K IIAP PROGRAMS - ASML VELDHOVEN DEMO LAB FOR EXPOSURES EUV

More information

UV Nanoimprint Tool and Process Technology. S.V. Sreenivasan December 13 th, 2007

UV Nanoimprint Tool and Process Technology. S.V. Sreenivasan December 13 th, 2007 UV Nanoimprint Tool and Process Technology S.V. Sreenivasan December 13 th, 2007 Agenda Introduction Need tool and process technology that can address: Patterning and CD control Alignment and Overlay Defect

More information

Double Patterning OPC and Design for 22nm to 16nm Device Nodes

Double Patterning OPC and Design for 22nm to 16nm Device Nodes Double Patterning OPC and Design for 22nm to 16nm Device Nodes Kevin Lucas, Chris Cork, Alex Miloslavsky, Gerry Luk-Pat, Xiaohai Li, Levi Barnes, Weimin Gao Synopsys Inc. Vincent Wiaux IMEC 1 Outline Introduction

More information

Nano-Imprint Lithography Infrastructure: Imprint Templates

Nano-Imprint Lithography Infrastructure: Imprint Templates Nano-Imprint Lithography Infrastructure: Imprint Templates John Maltabes Photronics, Inc Austin, TX 1 Questions to keep in mind Imprint template manufacturability Resolution Can you get sub30nm images?

More information

Defect Reduction for Semiconductor Memory Applications Using Jet And Flash Imprint Lithography

Defect Reduction for Semiconductor Memory Applications Using Jet And Flash Imprint Lithography Defect Reduction for Semiconductor Memory Applications Using Jet And Flash Imprint Lithography Zhengmao Ye, Kang Luo, Xiaoming Lu, Brian Fletcher, Weijun Liu, Frank Xu, Dwayne LaBrake, Douglas Resnick,

More information

Removing the Last Road Block of Deploying ILT into 10nm Node by Model-based Mask Data Preparation and Overlapped Shots

Removing the Last Road Block of Deploying ILT into 10nm Node by Model-based Mask Data Preparation and Overlapped Shots Removing the Last Road Block of Deploying ILT into 10nm Node by Model-based Mask Data Preparation and Overlapped Shots Linyong (Leo) Pang Bo Su, Yohan Choi D2S, Inc. 1 193i Needed to be Extended and Extended

More information

PROGRESS OF UV-NIL TEMPLATE MAKING

PROGRESS OF UV-NIL TEMPLATE MAKING PROGRESS OF UV-NIL TEMPLATE MAKING Takaaki Hiraka, Jun Mizuochi, Yuko Nakanishi, Satoshi Yusa, Shiho Sasaki, Yasutaka Morikawa, Hiroshi Mohri, and Naoya Hayashi Electronic Device Laboratory, Dai Nippon

More information

Multi-Shaped E-Beam Technology for Mask Writing

Multi-Shaped E-Beam Technology for Mask Writing Multi-Shaped E-Beam Technology for Mask Writing Juergen Gramss a, Arnd Stoeckel a, Ulf Weidenmueller a, Hans-Joachim Doering a, Martin Bloecker b, Martin Sczyrba b, Michael Finken b, Timo Wandel b, Detlef

More information

The Challenges in Making NIL Master Templates

The Challenges in Making NIL Master Templates The Challenges in Making NIL Master Templates Naoya Hayashi Dai Nippon Printing Co., Ltd. A Member of the ebeam Initiative 2011 Dai Nippon Printing Co.,Ltd. All Rights Reserved. OUTLINE Recent Progress

More information

Model-Based Mask Data Preparation (MB-MDP) and its impact on resist heating

Model-Based Mask Data Preparation (MB-MDP) and its impact on resist heating Model-Based Mask Data Preparation (MB-MDP) and its impact on resist heating Aki Fujimura* a, Takashi Kamikubo b, Ingo Bork a a D2S Inc., 4040 Moorpark Ave, Suite 250, San Jose, CA, 95117, USA; b NuFlare

More information

Fabrication of Step and Flash TM Imprint Lithography Templates Using Commercial Mask Processes

Fabrication of Step and Flash TM Imprint Lithography Templates Using Commercial Mask Processes Fabrication of Step and Flash TM Imprint Lithography Templates Using Commercial Mask Processes Ecron Thompson, Peter Rhyins, Ron Voisin, S.V. Sreenivasan *, Patrick Martin Molecular Imprints, Inc., 1807C

More information

Developing an AFM-based Automatic Tool for NanoAsperity Quantification

Developing an AFM-based Automatic Tool for NanoAsperity Quantification Developing an AFM-based Automatic Tool for NanoAsperity Quantification September 18, 2008 Sergey Belikov*, Lin Huang, Jian Shi, Ji Ma, Jianli He, Bob Tench, and Chanmin Su Veeco Instruments Inc., Santa

More information

Patterning Challenges for N7 and Beyond At a Crossroads. Steven Scheer. Director, Corporate Development Division TOKYO ELECTRON LIMITED

Patterning Challenges for N7 and Beyond At a Crossroads. Steven Scheer. Director, Corporate Development Division TOKYO ELECTRON LIMITED Patterning Challenges for N7 and Beyond At a Crossroads Steven Scheer Director, Corporate Development Division TOKYO ELECTRON LIMITED Advanced Technologies in Accelerating Digital Era and IoT Forum Friday,

More information

Approaching Zero Etch Bias at Cr Etch Process

Approaching Zero Etch Bias at Cr Etch Process Approaching Zero Etch Bias at Cr Etch Process Pavel Nesladek a ; Norbert Falk b ; Andreas Wiswesser a ; Renee Koch b ; Björn Sass a a Advanced Mask Technology Center, Rähnitzer Allee 9; 01109 Dresden,

More information

Auto classification and simulation of mask defects using SEM and CAD images

Auto classification and simulation of mask defects using SEM and CAD images Auto classification and simulation of mask defects using SEM and CAD images Tung Yaw Kang, Hsin Chang Lee Taiwan Semiconductor Manufacturing Company, Ltd. 25, Li Hsin Road, Hsinchu Science Park, Hsinchu

More information

Inspection of 32nm imprinted patterns with an advanced e-beam inspection system

Inspection of 32nm imprinted patterns with an advanced e-beam inspection system Inspection of 32nm imprinted patterns with an advanced e-beam inspection system Hong Xiao, Long (Eric) Ma, Fei Wang, Yan Zhao, and Jack Jau Hermes Microvision, Inc., 1762 Automation Parkway, San Jose,

More information

Defense Technical Information Center Compilation Part Notice

Defense Technical Information Center Compilation Part Notice UNCLASSIFIED Defense Technical Information Center Compilation Part Notice ADPO1 1322 TITLE: Amorphous- Silicon Thin-Film Transistor With Two-Step Exposure Process DISTRIBUTION: Approved for public release,

More information

Recent results of Multi-beam mask writer MBM-1000

Recent results of Multi-beam mask writer MBM-1000 Recent results of Multi-beam mask writer MBM-1000 Hiroshi Matsumoto, Hiroshi Yamashita, Hideo Inoue, Kenji Ohtoshi, Hirokazu Yamada Member of the ebeam Initiative 1 NFT s mask writer roadmap 2016 Device

More information

Advances in Roll-to-Roll Imprint Lithography for Display Applications Using Self Aligned Imprint Lithography. John G Maltabes HP Labs

Advances in Roll-to-Roll Imprint Lithography for Display Applications Using Self Aligned Imprint Lithography. John G Maltabes HP Labs Advances in Roll-to-Roll Imprint Lithography for Display Applications Using Self Aligned Imprint Lithography John G Maltabes HP Labs Outline Introduction Roll to Roll Challenges and Benefits HP Labs Roll

More information

Data Sheet. HSMD-Cxxx, HSMG-Cxxx, HSMH-Cxxx, HSMS-Cxxx, HSMY-Cxxx, Surface Mount Chip LEDs. HSMx-C110/C120/C150/C170/ C177/C190/C191/C197/C265

Data Sheet. HSMD-Cxxx, HSMG-Cxxx, HSMH-Cxxx, HSMS-Cxxx, HSMY-Cxxx, Surface Mount Chip LEDs. HSMx-C110/C120/C150/C170/ C177/C190/C191/C197/C265 HSMD-Cxxx, HSMG-Cxxx, HSMH-Cxxx, HSMS-Cxxx, HSMY-Cxxx, Surface Mount Chip LEDs Data Sheet HSMx-C11/C12/C15/C17/ C177/C19/C191/C197/C265 Description These chip LEDs are designed in an industry standard

More information

Cree XLamp 4550 LEDs BENEFITS

Cree XLamp 4550 LEDs BENEFITS Cree XLamp 455 LEDs Cree XLamp 455 LEDs bring the power of brightness to a wide range of lighting and backlighting applications including portable lighting, computer and television screens, signaling,

More information

ABSTRACT. Keywords: 3D NAND, FLASH memory, Channel hole, Yield enhancement, Defect inspection, Defect reduction DISCUSSION

ABSTRACT. Keywords: 3D NAND, FLASH memory, Channel hole, Yield enhancement, Defect inspection, Defect reduction DISCUSSION Yield enhancement of 3D flash devices through broadband brightfield inspection of the channel hole process module Jung-Youl Lee a, Il-Seok Seo a, Seong-Min Ma a, Hyeon-Soo Kim a, Jin-Woong Kim a DoOh Kim

More information

3M 8900 Single-mode SC Crimplok Connector

3M 8900 Single-mode SC Crimplok Connector 3M 8900 Single-mode SC Crimplok Connector Technical Report June 1999 80-6110-1441-8 1 1.0 Product Description & Requirements The 3M SC Single-mode Crimplok Connector is designed to provide the customer

More information

An Excimer Laser Micromachining System for the production of Bioparticle Electromanipulation Devices.

An Excimer Laser Micromachining System for the production of Bioparticle Electromanipulation Devices. An Excimer Laser Micromachining System for the production of Bioparticle Electromanipulation Devices. Nadeem H. Rizvi(a), Erol C. Harvey(a) and Phil T. Rumsby(a), Julian P. H. Burt(b), Mark S. Talary(b),

More information

Semiconductors Displays Semiconductor Manufacturing and Inspection Equipment Scientific Instruments

Semiconductors Displays Semiconductor Manufacturing and Inspection Equipment Scientific Instruments Semiconductors Displays Semiconductor Manufacturing and Inspection Equipment Scientific Instruments Electronics 110-nm CMOS ASIC HDL4P Series with High-speed I/O Interfaces Hitachi has released the high-performance

More information

Data Sheet x Series 7.6 mm (0.3 inch)/10.9 mm (0.43 inch) Seven Segment Displays

Data Sheet x Series 7.6 mm (0.3 inch)/10.9 mm (0.43 inch) Seven Segment Displays 5082-761x Series 7.6 mm (0.3 inch)/10.9 mm (0.43 inch) Seven Segment Displays Data Sheet 5082-761x Series/-762x Series/-765x Series/-766x Series HDSP-360x Series/-460x Series/-E15x Series Description The

More information

Data Sheet. HSMD-Cxxx, HSMG-Cxxx, HSMH-Cxxx, HSMS-Cxxx, HSMY-Cxxx, Surface Mount Chip LEDs

Data Sheet. HSMD-Cxxx, HSMG-Cxxx, HSMH-Cxxx, HSMS-Cxxx, HSMY-Cxxx, Surface Mount Chip LEDs HSMD-Cxxx, HSMG-Cxxx, HSMH-Cxxx, HSMS-Cxxx, HSMY-Cxxx, Surface Mount Chip LEDs Data Sheet HSMx-C11/ HSMx-C12/HSMx-C15/HSMx-C17/HSMx-C177/ HSMx-C19/HSMx-C191/HSMx-C197/HSMx-C265 Description These chip LEDs

More information

Features. Applications

Features. Applications HSMF-C118 TriColor ChipLED Data Sheet Description The HSMF-C118 tricolor chip-type LED is designed in an ultra small package for miniaturization. It is the first of its kind to achieve such small packaging

More information

Features. Applications. Part Number Color Package Description

Features. Applications. Part Number Color Package Description HSMF-C113 and HSMF-C115 Right Angle Tricolor Surface Mount ChipLEDs Data Sheet Description The HSMF-C113 / C115 tricolor chip-type LED is designed in an ultra small package for miniaturization. It is the

More information

ABSTRACT 1 INTRODUCTION

ABSTRACT 1 INTRODUCTION Novel lithography technique using an ASML Stepper/Scanner for the manufacture of display devices in MEMS world ASML US, Inc Special Applications, 6580 Via Del Oro San Jose, CA 95119 Keith Best, Pankaj

More information

Electron Beam Technology

Electron Beam Technology Electron Beam Technology Speed up! High Performance Electron Beam Lithography dedicated electron beam lithography To bridge cutting-edge research and nanofabrication, a dedicated nanolithography solution

More information

LIGITEK ELECTRONICS CO.,LTD. Property of Ligitek Only. TRIPLE DIGIT LED DISPLAY (0.56 Inch) DATA SHEET LTD511/21-XX REV. : B

LIGITEK ELECTRONICS CO.,LTD. Property of Ligitek Only. TRIPLE DIGIT LED DISPLAY (0.56 Inch) DATA SHEET LTD511/21-XX REV. : B TRIPLE DIGIT LED DISPLAY (0.56 Inch) LTD511/21-XX DATA SHEET DOC. NO : QW0905- LTD511/21-XX REV. : B DATE : 13 - Feb. - 2006 Page 1/7 Package Dimensions 37.6 (1.48") 8.1 (0.319") 14.2 (0.56") DIG.1 DIG.2

More information

Leveraging 300 mm Technology Solutions to Enable New MEMS Process Capabilities

Leveraging 300 mm Technology Solutions to Enable New MEMS Process Capabilities Leveraging 300 mm Technology Solutions to Enable New MEMS Process Capabilities Evan Patton Semicon Europa November 2017 Lam Research Corp. 1 Presentation Outline The Internet of Things (IoT) as a market

More information

projectors, head mounted displays in virtual or augmented reality use, electronic viewfinders

projectors, head mounted displays in virtual or augmented reality use, electronic viewfinders Beatrice Beyer Figure 1. (OLED) microdisplay with a screen diagonal of 16 mm. Figure 2. CMOS cross section with OLED on top. Usually as small as fingernails, but of very high resolution Optical system

More information

LEP400 Etch Depth Monitor Real-time, in-situ plasma etch depth monitoring and end point control plus co-linear wafer vision system

LEP400 Etch Depth Monitor Real-time, in-situ plasma etch depth monitoring and end point control plus co-linear wafer vision system LEP400 Etch Depth Monitor Real-time, in-situ plasma etch depth monitoring and end point control plus co-linear wafer vision system Base Configuration Etch Depth Monitoring LEP400 Recessed Window Plasma

More information

WP36BHD T-1 (3mm) Blinking LED Lamp

WP36BHD T-1 (3mm) Blinking LED Lamp T-1 (3mm) Blinking LED Lamp DESCRIPTIONS The Bright Red source color devices are made with Gallium Phosphide Red Light Emitting Diode Electrostatic discharge and power surge could damage the LEDs It is

More information

The HSMB-C110 is a right-angle package with the universally accepted dimensions of 3.2 x 1.0 x 1.5 mm. This part is ideal for

The HSMB-C110 is a right-angle package with the universally accepted dimensions of 3.2 x 1.0 x 1.5 mm. This part is ideal for Surface Mount Chip LEDs Technical Data HSMB-C19/C17/C11 Features Small Size Industry Standard Footprint Compatible with IR Solder Diffused Optics Operating Temperature Range of -3 C to +85 C Right Angle

More information

Because Innovation Matters

Because Innovation Matters Because Innovation Matters Silicon Systems Group Toru Watanabe President, Applied Materials, Japan Semicon Japan November 30, 2010 Safe Harbor This presentation contains forward-looking statements, including

More information

Design Studies For The LCLS 120 Hz RF Gun Injector

Design Studies For The LCLS 120 Hz RF Gun Injector BNL-67922 Informal Report LCLS-TN-01-3 Design Studies For The LCLS 120 Hz RF Gun Injector X.J. Wang, M. Babzien, I. Ben-Zvi, X.Y. Chang, S. Pjerov, and M. Woodle National Synchrotron Light Source Brookhaven

More information

Development of OLED Lighting Applications Using Phosphorescent Emission System

Development of OLED Lighting Applications Using Phosphorescent Emission System Development of OLED Lighting Applications Using Phosphorescent Emission System Kazuhiro Oikawa R&D Department OLED Lighting Business Center KONICA MINOLTA ADVANCED LAYERS, INC. October 10, 2012 Outline

More information

The Transition to Patterned Media in Hard Disk Drives

The Transition to Patterned Media in Hard Disk Drives The Transition to Patterned Media in Hard Disk Drives The Evolution of Jet and Flash Imprint Lithography for Patterned Media DISKCON San Jose Sept 24 rd, 2009 Paul Hofemann, Vice President, HDD Future

More information

Low Current Seven Segment Displays Technical Data

Low Current Seven Segment Displays Technical Data Low Current Seven Segment Displays Technical Data HDSP-335X Series HDSP-555X Series HDSP-751X Series HDSP-A10X Series HDSP-A80X Series HDSP-A90X Series HDSP-E10X Series HDSP-F10X Series HDSP-G10X Series

More information

Introduction and recent results of Multi-beam mask writer MBM-1000

Introduction and recent results of Multi-beam mask writer MBM-1000 Introduction and recent results of Multi-beam mask writer MBM-1000 Hiroshi Matsumoto, Yasuo Kato, Munehiro Ogasawara, Hirokazu Yamada February 23 rd, 2016 Member of the ebeam Initiative NFT s mask writer

More information

Applied Materials. 200mm Tools & Process Capabilities For Next Generation MEMS. Dr Michel (Mike) Rosa

Applied Materials. 200mm Tools & Process Capabilities For Next Generation MEMS. Dr Michel (Mike) Rosa Applied Materials 200mm Tools & Process Capabilities For Next Generation MEMS Dr Michel (Mike) Rosa 200mm MEMS Global Product / Marketing Manager, Components and Systems Group (CSG), Applied Global Services

More information

Flexible Electronics Production Deployment on FPD Standards: Plastic Displays & Integrated Circuits. Stanislav Loboda R&D engineer

Flexible Electronics Production Deployment on FPD Standards: Plastic Displays & Integrated Circuits. Stanislav Loboda R&D engineer Flexible Electronics Production Deployment on FPD Standards: Plastic Displays & Integrated Circuits Stanislav Loboda R&D engineer The world-first small-volume contract manufacturing for plastic TFT-arrays

More information

DatasheetDirect.com. Visit to get your free datasheets. This datasheet has been downloaded by

DatasheetDirect.com. Visit  to get your free datasheets. This datasheet has been downloaded by DatasheetDirect.com Your dedicated source for free downloadable datasheets. Over one million datasheets Optimized search function Rapid quote option Free unlimited downloads Visit www.datasheetdirect.com

More information

Agilent HSMx-C265 Surface Mount Chip LEDs Data Sheet

Agilent HSMx-C265 Surface Mount Chip LEDs Data Sheet Agilent HSMx-C265 Surface Mount Chip LEDs Data Sheet Description The HSMx-C265 is a reverse mountable chip-type LED for lighting the non-component side of a PCB board. In this reverse mounting configuration,

More information

Screen investigations for low energetic electron beams at PITZ

Screen investigations for low energetic electron beams at PITZ 1 Screen investigations for low energetic electron beams at PITZ S. Rimjaem, J. Bähr, H.J. Grabosch, M. Groß Contents Review of PITZ setup Screens and beam profile monitors at PITZ Test results Summary

More information

SEMICONDUCTOR TECHNOLOGY -CMOS-

SEMICONDUCTOR TECHNOLOGY -CMOS- SEMICONDUCTOR TECHNOLOGY -CMOS- Fire Tom Wada 2011/12/19 1 What is semiconductor and LSIs Huge number of transistors can be integrated in a small Si chip. The size of the chip is roughly the size of nails.

More information

Applications Keypad Backlighting LCD Backlighting Symbol Backlighting Front Panel Indicator

Applications Keypad Backlighting LCD Backlighting Symbol Backlighting Front Panel Indicator High Performance Surface Mount Flip Chip LEDs Technical Data HP SunPower Series HSMA-H67/H69/H77/ H79/R661/R761 HSMC-H67/H69/H77/ H79/R661/R761 HSML-H67/H69/H77/ H79/R661/R761 Features High Brightness

More information

Possible Paths for Cu CMP

Possible Paths for Cu CMP Possible Paths for Cu CMP J.S. Drewery, V. Hardikar, S.T. Mayer, H. Meinhold, F. Juarez, and J. Svirchevski Presented by Julia Svirchevski Agenda Perceived Need for ECMP Technology Differentiation Profile

More information

DATA SHEET LDD405/61-XX-PF. LIGITEK ELECTRONICS CO.,LTD. Property of Ligitek Only. DUAL DIGIT LED DISPLAY (0.40 lnch) DOC. NO : QW0905-LDD405/61-XX-PF

DATA SHEET LDD405/61-XX-PF. LIGITEK ELECTRONICS CO.,LTD. Property of Ligitek Only. DUAL DIGIT LED DISPLAY (0.40 lnch) DOC. NO : QW0905-LDD405/61-XX-PF DUAL DIGIT LED DISPLAY (0.40 lnch) Pb Lead-Free Parts LDD405/61-XX-PF DATA SHEET DOC. NO : QW0905-LDD405/61-XX-PF REV. : A DATE : 22 - Jun. - 2006 Page 1/8 Package Dimensions 20.2 (0.795") 6.9 (0.272")

More information

Features. Applications

Features. Applications HSMx-C1/C177/C197/C265 High Performance Chip LEDs Data Sheet Description These chip type LEDs utilize Aluminium Indium Galium Phosphide (AlInGaP) material technology. The AlInGaP material has a very high

More information

Advanced Display Manufacturing Technology

Advanced Display Manufacturing Technology Advanced Display Manufacturing Technology John Busch Vice President, New Business Development Display and Flexible Technology Group September 28, 2017 Safe Harbor This presentation contains forward-looking

More information

Description The 14.2 mm (0.56 inch) LED seven segment displays are designed for viewing distances up

Description The 14.2 mm (0.56 inch) LED seven segment displays are designed for viewing distances up 14.2 mm (.56 inch) Seven Segment Displays Technical Data HDSP-K4x Series HDSP-55x Series HDSP-552x Series HDSP-56x Series HDSP-562x Series HDSP-57x Series HDSP-572x Series HDSP-H15x Series HDSP-H4x Series

More information

Data Sheet. HSMx-C120, HSMx-C177, HSMx-C197 and HSMx-C265. High Performance Chip LEDs. Description. Features. Applications. Device Selection Guide

Data Sheet. HSMx-C120, HSMx-C177, HSMx-C197 and HSMx-C265. High Performance Chip LEDs. Description. Features. Applications. Device Selection Guide HSMx-C12, HSMx-C177, HSMx-C197 and HSMx-C265 High Performance Chip LEDs Data Sheet Description These chip type LEDs utilize Aluminium Indium Galium Phosphide (AlInGaP) material technology. The AlInGaP

More information

INDICATOR LAMPS & LED REPLACEMENT LAMPS

INDICATOR LAMPS & LED REPLACEMENT LAMPS INDICATOR LAMPS & LED REPLACEMENT LAMPS Neon indicators (internal resistor) 120VAC 55-452-0 Red lens 120VAC 55-453-0 Amber lens 120VAC 55-455-0 Green lens 120VAC Neon indicators (internal resistor) 120VAC

More information

LIGITEK ELECTRONICS CO.,LTD. Property of Ligitek Only. FOUR DIGIT LED DISPLAY (0.39 Inch) Lead-Free Parts DATA SHEET LFD3F5/62-XX-PF REV.

LIGITEK ELECTRONICS CO.,LTD. Property of Ligitek Only. FOUR DIGIT LED DISPLAY (0.39 Inch) Lead-Free Parts DATA SHEET LFD3F5/62-XX-PF REV. FOUR DIGIT LED DISPLAY (0.39 Inch) Pb Lead-Free Parts LFD3F5/62-XX-PF DATA SHEET DOC. NO : QW0905- LFD3F5/62-XX-PF REV. : A DATE : 11 - Apr. - 2006 Page 1/9 Package Dimensions 40.0(1.57") 7.0(0.28") 9.9

More information

SURFACE MOUNT LED LAMP STANDARD BRIGHT PLCC-2

SURFACE MOUNT LED LAMP STANDARD BRIGHT PLCC-2 PACKAGE DIMENSIONS 0.130 (3.3) 0.114 (2.9) Ø0.094 [Ø2.4] 0.118 (3.0) 0.102 (2.6) 0.091 (2.3) 0.083 (2.1) TOP POLARITY MARK 0.024 (0.6) 0.016 (0.4) 0.083 (2.1) 0.067 (1.7) 0.146 (3.7) 0.130 (3.3) SIDE 0.006

More information

SEMICONDUCTOR TECHNOLOGY -CMOS-

SEMICONDUCTOR TECHNOLOGY -CMOS- SEMICONDUCTOR TECHNOLOGY -CMOS- Fire Tom Wada What is semiconductor and LSIs Huge number of transistors can be integrated in a small Si chip. The size of the chip is roughly the size of nails. Currently,

More information

ASMT SxB5 Nxxxx Surface Mount LED Indicator

ASMT SxB5 Nxxxx Surface Mount LED Indicator ASMT SxB5 Nxxxx Surface Mount LED Indicator Description Avago Power PLCC 4 is an extension of our PLCC 2 SMT LEDs. The package can be driven at higher current due to its superior package design. The product

More information

Scalable self-aligned active matrix IGZO TFT backplane technology and its use in flexible semi-transparent image sensors. Albert van Breemen

Scalable self-aligned active matrix IGZO TFT backplane technology and its use in flexible semi-transparent image sensors. Albert van Breemen Scalable self-aligned active matrix IGZO TFT backplane technology and its use in flexible semi-transparent image sensors Albert van Breemen Image sensors today 1 Dominated by silicon based technology on

More information

Features. Applications

Features. Applications ASMB-BTE1-0B332 High Brightness PLCC4 Tricolor LED Data Sheet Description This family of SMT LEDs packaged in the form of PLCC-4 with common Anode pin. The full black plastic housing with white inner reflector

More information

Optical Perfection. HIGHYAG Beam Delivery Products

Optical Perfection. HIGHYAG Beam Delivery Products Optical Perfection HIGHYAG Beam Delivery Products At a Glance HIGHYAG Beam Delivery Products HIGHYAG beam delivery products for 1 µ lasers - from the beam launching unit at the laser via the laser light

More information

Distributed by: www.jameco.com 1-8-831-4242 The content and copyrights of the attached material are the property of its owner. HDSP-K4x Series 14.2 mm (.56 inch) Seven Segment Displays Data Sheet HDSP-K4x

More information

Standard Operating Manual

Standard Operating Manual Standard Operating Manual LAM490 AutoEtch System Copyright 11.2015 by Hong Kong University of Science & Technology. All rights reserved. Page 1 Contents 1. Picture and Location 2. Process Capabilities

More information

Kingbright. L-7104YD-12V T-1 (3mm) Solid State Lamp DESCRIPTIONS PACKAGE DIMENSIONS FEATURES APPLICATIONS ATTENTION SELECTION GUIDE

Kingbright. L-7104YD-12V T-1 (3mm) Solid State Lamp DESCRIPTIONS PACKAGE DIMENSIONS FEATURES APPLICATIONS ATTENTION SELECTION GUIDE T-1 (3mm) Solid State Lamp DESCRIPTIONS The Yellow source color devices are made with Gallium Arsenide Phosphide on Gallium Phosphide Yellow Light Emitting Diode Electrostatic discharge and power surge

More information

Selective isotropic etching of Group IV semiconductors to enable gate all around device architectures

Selective isotropic etching of Group IV semiconductors to enable gate all around device architectures TEL Technology Center, America, LLC - imec Selective isotropic etching of Group IV semiconductors to enable gate all around device architectures SPCC, April 10, 2018 S. Kal 1, C. Pereira 1, Y. Oniki 2,

More information

Cylindrical High-Intensity LED (5 mm)

Cylindrical High-Intensity LED (5 mm) (5 mm) Wide viewing angle High-brightness indicator Industry standard lead spacing Unique lens shape for flexible applications Product Photo Here The series is designed for superior performance in signage

More information

Features. Applications. Part Number Color Package Description

Features. Applications. Part Number Color Package Description HSMF-C16x Miniature Bi-Color Surface Mount ChipLEDs Data Sheet Description This series of bi-color ChipLEDs is designed with the smallest footprint to achieve high density of components on board. They

More information

High performance optical blending solutions

High performance optical blending solutions High performance optical blending solutions WHY OPTICAL BLENDING? Essentially it is all about preservation of display dynamic range. Where projected images overlap in a multi-projector display, common

More information

Overcoming Challenges in 3D NAND Volume Manufacturing

Overcoming Challenges in 3D NAND Volume Manufacturing Overcoming Challenges in 3D NAND Volume Manufacturing Thorsten Lill Vice President, Etch Emerging Technologies and Systems Flash Memory Summit 2017, Santa Clara 2017 Lam Research Corp. Flash Memory Summit

More information

LAMPS & INDICATOR LAMPS

LAMPS & INDICATOR LAMPS LAMPS & INDICATOR LAMPS 10.5 M16 x 1.0 55-056-0 Bulk 12V140mA lead wire lamp 55-056-2 Display Package (2) 19.5 14.0 12.0 20.4 7.5 50.4 55-120-0 Bulk NE-2 Neon bulb 55-120-2 Display Package (2) T 3-14 (10mm)

More information

AMOLED Manufacturing Process Report SAMPLE

AMOLED Manufacturing Process Report SAMPLE AMOLED Manufacturing Process Report SAMPLE 2018 AMOLED Manufacturing Process Report The report analyzes the structure and manufacturing process by dividing AMOLED into small & medium-sized rigid OLED,

More information

PROCEEDINGS OF SPIE. Classification and printability of EUV mask defects from SEM images

PROCEEDINGS OF SPIE. Classification and printability of EUV mask defects from SEM images PROCEEDINGS OF SPIE SPIEDigitalLibrary.org/conference-proceedings-of-spie Classification and printability of EUV mask defects from SEM images Wonil Cho, Daniel Price, Paul A. Morgan, Daniel Rost, Masaki

More information

Operation of CEBAF photoguns at average beam current > 1 ma

Operation of CEBAF photoguns at average beam current > 1 ma Operation of CEBAF photoguns at average beam current > 1 ma M. Poelker, J. Grames, P. Adderley, J. Brittian, J. Clark, J. Hansknecht, M. Stutzman Can we improve charge lifetime by merely increasing the

More information

LIGITEK ELECTRONICS CO.,LTD. Property of Ligitek Only. SINGLE DIGIT LED DISPLAY (0.32 Inch) LSD335/61-XX DATA SHEET REV. : A

LIGITEK ELECTRONICS CO.,LTD. Property of Ligitek Only. SINGLE DIGIT LED DISPLAY (0.32 Inch) LSD335/61-XX DATA SHEET REV. : A SINGLE DIGIT LED DISPLAY (0.32 Inch) LSD335/61-XX DATA SHEET DOC. NO : QW0905- LSD335/61-XX REV. : A DATE : 03 - Feb - 2005 PART NO. LSD335/61-XX Page 1/7 Package Dimensions PIN NO.1 7.5 6.5 (0.295") (0.256")

More information

LCD Panel. Optical Bonding. Optically-Bonded Glass. Protective Glass. Videowall

LCD Panel. Optical Bonding. Optically-Bonded Glass. Protective Glass. Videowall LCD Panel Optically-Bonded Glass Optical Bonding Protective Glass Videowall The all-new Sturdiglass option for Easy-4K video wall displays improves screen durability without compromising viewing experience.

More information

2006 Taiwan FPD International Conference May 25-26, 2006 Taipei International Convention Center Brightness Enhancement Films

2006 Taiwan FPD International Conference May 25-26, 2006 Taipei International Convention Center Brightness Enhancement Films 1 2006 Taiwan FPD International Conference May 25-26, 2006 Taipei International Convention Center Brightness Enhancement Films Bill Smyth Senior Manager, Marketing & Business Development 3M Optical Systems

More information

Soft starter, 66 A, V AC, Us= 24 V DC, with control unit, Frame size N. Function Soft starter for three-phase loads, with control unit

Soft starter, 66 A, V AC, Us= 24 V DC, with control unit, Frame size N. Function Soft starter for three-phase loads, with control unit DATASHEET - S811+N66N3S Delivery program Soft starter, 66 A, 200-600 V AC, Us= 24 V DC, with control unit, Frame size N Part no. S811+N66N3S Catalog No. 168978 Eaton Catalog No. S811PLUSN66N3S EL-Nummer

More information

Data Sheet. HSMR-CL mm Blue Leadframe-Based Surface Mount ChipLED. Features. Description. Advantages. Package Dimensions

Data Sheet. HSMR-CL mm Blue Leadframe-Based Surface Mount ChipLED. Features. Description. Advantages. Package Dimensions HSMR-CL25.25mm Blue Leadframe-Based Surface Mount ChipLED Data Sheet Description The HSMR-CL25 series of parts is designed with an ultra small form factor to allow this miniaturization. The HSMR- CL25

More information

Lossless Compression Algorithms for Direct- Write Lithography Systems

Lossless Compression Algorithms for Direct- Write Lithography Systems Lossless Compression Algorithms for Direct- Write Lithography Systems Hsin-I Liu Video and Image Processing Lab Department of Electrical Engineering and Computer Science University of California at Berkeley

More information

Lower BW and its impact on the patterning performance

Lower BW and its impact on the patterning performance Lower BW and its impact on the patterning performance Paolo Alagna a, Greg Rechtsteiner b, Vadim Timoshkov c, Patrick Wong d Will Conley b, Jan Baselmans c a) Cymer LLC, Kapeldreef 75, 3001 Leuven, Belgium

More information

EUV Mask and Wafer Defectivity: Strategy and Evaluation for Full Die Defect Inspection

EUV Mask and Wafer Defectivity: Strategy and Evaluation for Full Die Defect Inspection EUV Mask and Wafer Defectivity: Strategy and Evaluation for Full Die Defect Inspection Ravi Bonam 1, Hung-Yu Tien 2, Acer Chou 2, Luciana Meli 1, Scott Halle 1, Ivy Wu 2, Xiaoxia Huang 2, Chris Lei 2,

More information

Features. Applications

Features. Applications ASMT-Rx45-xxxxx 0.45mm Leadframe-Based Surface Mount ChipLED Data Sheet Description Avago s ultra-thin ASMT-Rx45 ChipLEDs were developed based on the industrial standard ChipLED 0603 platform which requires

More information

Agilent HSMx-C120/C177/C197/C265 High Performance Chip LEDs Data Sheet

Agilent HSMx-C120/C177/C197/C265 High Performance Chip LEDs Data Sheet Agilent HSMx-C12/C177/C197/C265 High Performance Chip LEDs Data Sheet Description These chip type LEDs utilize Aluminium Indium Galium Phosphide (AlInGaP) material technology. The AlInGaP material has

More information

Illumination Challenges in Non- Industrial Vision Applications. Simon Stanley Managing Director ProPhotonix IRL Ltd

Illumination Challenges in Non- Industrial Vision Applications. Simon Stanley Managing Director ProPhotonix IRL Ltd Illumination Challenges in Non- Industrial Vision Applications Simon Stanley Managing Director ProPhotonix IRL Ltd ProPhotonix designs and manufactures high-quality LED systems and laser modules for the

More information

Non-Invasive Energy Spread Monitoring for the JLAB Experimental Program via Synchrotron Light Interferometers

Non-Invasive Energy Spread Monitoring for the JLAB Experimental Program via Synchrotron Light Interferometers Non-Invasive for the JLAB Experimental Program via Synchrotron Light Interferometers P. Chevtsov, T. Day, A.P. Freyberger, R. Hicks Jefferson Lab J.-C. Denard Synchrotron SOLEIL 20th March 2005 1. Energy

More information

SC26 Magnetic Field Cancelling System

SC26 Magnetic Field Cancelling System SPICER CONSULTING SYSTEM SC26 SC26 Magnetic Field Cancelling System Makes the ambient magnetic field OK for electron beam tools in 300 mm wafer fabs Real time, wideband cancelling from DC to > 9 khz fields

More information

Reduction of Device Damage During Dry Etching of Advanced MMIC Devices Using Optical Emission Spectroscopy

Reduction of Device Damage During Dry Etching of Advanced MMIC Devices Using Optical Emission Spectroscopy Reduction of Device Damage During Dry Etching of Advanced MMIC Devices Using Optical Emission Spectroscopy D. Johnson, R. Westerman, M. DeVre, Y. Lee, J. Sasserath Unaxis USA, Inc. 10050 16 th Street North

More information

EVERLIGHT ELECTRONICS CO.,LTD.

EVERLIGHT ELECTRONICS CO.,LTD. Technical Data Sheet 1206 Package Chip LED with Inner Lens Features Package in 8mm tape on 7 diameter reel. Compatible with automatic placement equipment. Compatible with infrared and vapor phase reflow

More information

Principles of Electrostatic Chucks 6 Rf Chuck Edge Design

Principles of Electrostatic Chucks 6 Rf Chuck Edge Design Principles of Electrostatic Chucks 6 Rf Chuck Edge Design Overview This document addresses the following chuck edge design issues: Device yield through system uniformity and particle reduction; System

More information

AIXTRON in EXCILIGHT project

AIXTRON in EXCILIGHT project AIXTRON SE AIXTRON in EXCILIGHT project Gintautas Simkus ABOUT AIXTRON 2 Who we are Headquarter based in Herzogenrath, Germany Worldwide presence with 14 sales/representatives offices and production facilities

More information

V DD1 V CC - V GL Operating Temperature T OP

V DD1 V CC - V GL Operating Temperature T OP Product specifications contained herein may be changed without prior notice. It is therefore advisable to contact Purdy Electronics before proceeding with the design of equipment incorporating this product.

More information

Universal High Current Implanter for Surface Modifications with ion beams Extensive range of ion species, including refractory metals Magnetic mass

Universal High Current Implanter for Surface Modifications with ion beams Extensive range of ion species, including refractory metals Magnetic mass Universal High Current Implanter for Surface Modifications with ion beams Extensive range of ion species, including refractory metals Magnetic mass analysis for pure ion beams Energy range from 5 to 200

More information