The Transition to Patterned Media in Hard Disk Drives

Size: px
Start display at page:

Download "The Transition to Patterned Media in Hard Disk Drives"

Transcription

1 The Transition to Patterned Media in Hard Disk Drives The Evolution of Jet and Flash Imprint Lithography for Patterned Media DISKCON San Jose Sept 24 rd, 2009 Paul Hofemann, Vice President, HDD

2 Future Industry Growth Supported by 1 Tb/in2 Era 1,200,000 HDD Unit Demand Units (x1000) 1,000, , , ,000 Areal Density > 1Tb/in2 200, Sources: 1.) HDD Unit Demand: Coughlin Associates Aug 09 2.) Areal Density > 1Tb/in2 Multiple Industry Inputs

3 Areal Density Roadmap The Lifeblood of the HDD Industry Critical Dimension Resolution 1,000 Optical Lithography (TFH) Areal Density (Gbit/in 2 ) CD Resolution (nm) Disk Media Technology Roadmap 10, J-FIL Imprint (Patterned Media) nm 200nm 100nm 50nm 0nm 3 HAMR HAMR Discrete Track Track Bit Bit Pattern Pattern PerpendicularDiscrete LongitudinalPerpendicular Longitudinal

4 The Promise of Patterned Media Discrete Track Media (DTM) enables higher track densities 50% + higher Areal Density Track isolation with nonmagnetic material prevents adjacent track interference Bit Pattern Media will provide further isolation along down track direction 500% + higher Areal Density Discrete Tracks Continuous Media

5 Media Manufacturing Process Wash Sputter Continuous Media COC Lube Burnish Flight Test Wash Planarization Adhesion Promoter Pattern Media Resist Strip / Hard Mask Removal J-FIL Etch or Imprint Ion Implant Template Replication Inspection & Metrology EBR Master Template 5

6 Templates

7 Master Template Design 150 mm 65 mm Fully Patterned DTR Template (Before metal layer Strip) Standard 6-inch round fused silica Rotary e-beam generates master patterned template Fused silica Center mark/barcode Template Auto-alignment Disk Auto-alignment Patterned Media 7

8 Areal Density Defined by Feature Resolution of Master Template >1Tb/in 2 requires advanced feature resolution 65m m Form Factor Capacity/Platter Areal Density Track Pitch Land Groove SPIE BACUS 2009 Western Digital Recent success with self assembly techniques will extend reach beyond EBR Also helps reduce write times

9 Cost Benefits of Template Replication J-FIL Replicator J-FIL Disk Imprinter High Volume Manufacturing 5-10 days per master 10 templates per hour 360 double-sided disks per hour

10 Template Replication Supply Chain TM Perfecta TR1100 Replicated Template Two replication systems sold, shipped and formally accepted into service Based on MII s imprint technology (J-FIL) Provides identical copies of e-beam masters at a fraction of the cost Produces 10 templates/hour (>100X faster than leading edge template writers) Has adopted Perfecta replication system to become a merchant supplier of templates to the HDD market

11 Template Test Patterns Discrete Tracks: 32nm Patterned Media Master Template: 25nm BPM Final imprinted disk Servo Patterns Track address Burst pattern Sector address and data tracks

12 J-FIL Imprint Patterning Wash Sputter COC Lube Burnish Flight Test Wash Planarization Adhesion Promoter Pattern Media Resist Strip / Hard Mask Removal J-FIL Etch or Imprint Ion Implant

13 Patterned Media Lithography Challenges Pattern density variation and structure differences across disk Features dimensions << 40nm Low Cost of Ownership requirements (t put, robustness, yield, etc.) Servo Patterns Candela scan of a 65mm fully patterned disk Discrete Tracks 13

14 Imprint Adhesion Promoter Film Uniformity ~1.0nm ±0.1nm Film Thickness Map (Candela) Key Process Parameters Strong Adhesion force Uniformity Cleanliness High Throughput Adhesion Force LithoPrime Vapor Deposition Adhesion Force (lbf) Slot # in Process Chamber

15 Jet and Flash (J-FIL) Imprint Lithography 10 J-FIL disk tools sold into HDD

16 IntelliJet TM Drop Patterned Technology Enables Pattern Transfer Fidelity + = Volume Map of Pattern Intellijet TM Drop Pattern Technology Dispensed Relative to Pattern (ie., more drops in dense pattern) 15nm RLT Data Track Region Variable Density Pattern 15nm RLT Servo Region

17 J-FIL Technology for Patterned Media

18 TM First Generation J-FILJ Platform for Patterned Media Research Single-Sided 60 dph for initial patterned media research DTR - 50nm half pitch Servo Patterns Imprio 1100 CY06-CY07 DTR - 20nm half pitch Resist on Template BPM - 25nm half pitch Resist Pillars

19 Second Generation J-FILJ TM Platform for Patterned Media Development Emphasis on Double-Sided Disk Imprinting and low Defectivity Robot Cassettes Templates >150 Double-Sided Disks Per Hour GUI Imprio HD2200 CY08-CY09 19

20 3 rd Generation J-FIL J Will Support Industry s s Pilot/Production lines Gb/in Gb/in Gb/in Gb/in Tb/in Tb/in 2 HVM 3 rd Tool Tool ShipmentsS Development (~2x throughput t and ~50% footprint) Imprio HD nd Tool Tool Shipments Development (> 150 dph double-sided) Imprio st Tool Tool Shipments Dev. (60 dph single-sided) 20

21 HVM J-FILJ TM Platform for Pilot & High Volume Manufacturing >1 Tb/in 2 process performance at low manufacturing costs Cassettes Templates GUI 360 double-sided disks/hr 50% footprint compared to Imprio HD2200 Emphasis on low consumables, high yield, robustness, serviceability and full automation 21

22 HVM J-FILJ TM Platform for Pilot & High Volume Manufacturing Molecular Imprints Assembly Floor Shipments begin early next year for pilot lines

23 Cost Of Ownership Improvements MII HDD Platform Total CoO ($ s/disk) $ st Gen (1100) $ nd Gen (HD2200) <$0.35 = 3 rd Gen (HVM) Model Includes: Tool Capital Footprint Uptime Yield Consumables Resist Light Source Adhesion Deposition Provided as estimates only 23

24 Pattern Transfer and Planarization

25 Pattern Transfer and Planarization Solutions Progressing Etch pilot-line capable tool sales have been publicly announced SEM and AFM Example of DTR Etch Reactive Ion Etch (RIE) and Inductively-Coupled (ICP) soft etch Integrated planarization solutions also proposed Trench fill and etch back steps SPIE BACUS Sept 09 NOTE: Ion Implant continues to be investigated. Value proposition if successful is that it replaces magnetic etch, trench fill and planarization

26 Yield Learning

27 Time to Yield Key to Successful Patterned Media Transition Adopting the semiconductor-like yield management paradigm Benchmarking new capital equipment running at manufacturing thoughputs Yield learning of subtle process integration Sample schemes for process tool/line excursion monitoring % Disk Platter Yield Yield Learning Excursion Control Time (months) True Pilot Line Patchwork Pilot Line Virtual Pilot Line Single Fully Automated Fab Floor HVM HVM HVM HVM Single Semi-Automated Fab Floor HVM R&D Mfg Facility A HVM R&D HVM HVM R&D R&D Facility B R&D R&D Facility C R&D

28 Summary J-FIL TM (Jet and Flash Imprint Lithography) 10 PM disk tools sold 2 Replication tools sold Fulfilling its promise as the low cost patterning solution for the HDD Industry Single-sided patterned media RESEARCH has transitioned to double-sided product DEVELOPMENT with PILOT-LINES scheduled to begin in 2010 Process and Metrology Equipment should be ready for next year s pilot-lines Template technology with merchant supply have received a lot of attention this year Pilot-lines placed in a true manufacturing setting using HVM generation equipment will accelerate process integration and yield learning 28

UV Nanoimprint Tool and Process Technology. S.V. Sreenivasan December 13 th, 2007

UV Nanoimprint Tool and Process Technology. S.V. Sreenivasan December 13 th, 2007 UV Nanoimprint Tool and Process Technology S.V. Sreenivasan December 13 th, 2007 Agenda Introduction Need tool and process technology that can address: Patterning and CD control Alignment and Overlay Defect

More information

Defect Reduction for Semiconductor Memory Applications Using Jet And Flash Imprint Lithography

Defect Reduction for Semiconductor Memory Applications Using Jet And Flash Imprint Lithography Defect Reduction for Semiconductor Memory Applications Using Jet And Flash Imprint Lithography Zhengmao Ye, Kang Luo, Xiaoming Lu, Brian Fletcher, Weijun Liu, Frank Xu, Dwayne LaBrake, Douglas Resnick,

More information

Advanced Display Manufacturing Technology

Advanced Display Manufacturing Technology Advanced Display Manufacturing Technology John Busch Vice President, New Business Development Display and Flexible Technology Group September 28, 2017 Safe Harbor This presentation contains forward-looking

More information

Fabrication of Step and Flash TM Imprint Lithography Templates Using Commercial Mask Processes

Fabrication of Step and Flash TM Imprint Lithography Templates Using Commercial Mask Processes Fabrication of Step and Flash TM Imprint Lithography Templates Using Commercial Mask Processes Ecron Thompson, Peter Rhyins, Ron Voisin, S.V. Sreenivasan *, Patrick Martin Molecular Imprints, Inc., 1807C

More information

PROGRESS OF UV-NIL TEMPLATE MAKING

PROGRESS OF UV-NIL TEMPLATE MAKING PROGRESS OF UV-NIL TEMPLATE MAKING Takaaki Hiraka, Jun Mizuochi, Yuko Nakanishi, Satoshi Yusa, Shiho Sasaki, Yasutaka Morikawa, Hiroshi Mohri, and Naoya Hayashi Electronic Device Laboratory, Dai Nippon

More information

Nano-Imprint Lithography Infrastructure: Imprint Templates

Nano-Imprint Lithography Infrastructure: Imprint Templates Nano-Imprint Lithography Infrastructure: Imprint Templates John Maltabes Photronics, Inc Austin, TX 1 Questions to keep in mind Imprint template manufacturability Resolution Can you get sub30nm images?

More information

The Challenges in Making NIL Master Templates

The Challenges in Making NIL Master Templates The Challenges in Making NIL Master Templates Naoya Hayashi Dai Nippon Printing Co., Ltd. A Member of the ebeam Initiative 2011 Dai Nippon Printing Co.,Ltd. All Rights Reserved. OUTLINE Recent Progress

More information

~ 50, ,000 ~ $500K

~ 50, ,000 ~ $500K Mask Replication The lifetime of a mask is anticipated to be ~ 50,000 100,000 imprints An e-beam written master mask will cost ~ $500K If you wanted to print 1M wafers, you would spend ~ $500M on masks

More information

Achieving 10 Terabytes/Cartridge by 2011

Achieving 10 Terabytes/Cartridge by 2011 Achieving 10 Terabytes/Cartridge by 2011 John Woelbern Sony Electronics Inc. 3300 Zanker Road, San Jose, CA, 95134-1940 Phone: 408-955-5496 FAX: 408-955-5533 E-mail: john.woelbern@am.sony.com Presented

More information

Approaching Zero Etch Bias at Cr Etch Process

Approaching Zero Etch Bias at Cr Etch Process Approaching Zero Etch Bias at Cr Etch Process Pavel Nesladek a ; Norbert Falk b ; Andreas Wiswesser a ; Renee Koch b ; Björn Sass a a Advanced Mask Technology Center, Rähnitzer Allee 9; 01109 Dresden,

More information

Inspection of Imprint Lithography Patterns for Semiconductor and Patterned Media

Inspection of Imprint Lithography Patterns for Semiconductor and Patterned Media Inspection of Imprint Lithography Patterns for Semiconductor and Patterned Media Douglas J. Resnick, Gaddi Haase, Lovejeet Singh, David Curran, Gerard M. Schmid, Kang Luo, Cindy Brooks, Kosta Selinidis,

More information

Inspection of 32nm imprinted patterns with an advanced e-beam inspection system

Inspection of 32nm imprinted patterns with an advanced e-beam inspection system Inspection of 32nm imprinted patterns with an advanced e-beam inspection system Hong Xiao, Long (Eric) Ma, Fei Wang, Yan Zhao, and Jack Jau Hermes Microvision, Inc., 1762 Automation Parkway, San Jose,

More information

Overcoming Challenges in 3D NAND Volume Manufacturing

Overcoming Challenges in 3D NAND Volume Manufacturing Overcoming Challenges in 3D NAND Volume Manufacturing Thorsten Lill Vice President, Etch Emerging Technologies and Systems Flash Memory Summit 2017, Santa Clara 2017 Lam Research Corp. Flash Memory Summit

More information

August 4, Axcelis Technologies, Inc.

August 4, Axcelis Technologies, Inc. August 4, 2014 Axcelis Technologies, Inc. Purion Product Family Beyond the Commonality Purion Ion Implanters Enable Advanced 3D Device Processing and Provide Customers More Flexible Manufacturing Strategies

More information

Auto classification and simulation of mask defects using SEM and CAD images

Auto classification and simulation of mask defects using SEM and CAD images Auto classification and simulation of mask defects using SEM and CAD images Tung Yaw Kang, Hsin Chang Lee Taiwan Semiconductor Manufacturing Company, Ltd. 25, Li Hsin Road, Hsinchu Science Park, Hsinchu

More information

Because Innovation Matters

Because Innovation Matters Because Innovation Matters Silicon Systems Group Toru Watanabe President, Applied Materials, Japan Semicon Japan November 30, 2010 Safe Harbor This presentation contains forward-looking statements, including

More information

Self-Aligned Double Patterning for 3xnm Flash Production

Self-Aligned Double Patterning for 3xnm Flash Production Self-Aligned Double Patterning for 3xnm Flash Production Chris Ngai Dir of Process Engineering & Lithography Maydan Technology Center Group Applied Materials, Inc. July 16 th, 2008 Overview Double Patterning

More information

ME Technology-AIT,S-AIT and Bi-directional recording

ME Technology-AIT,S-AIT and Bi-directional recording ME Technology-AIT,S-AIT and Bi-directional recording Seiichi Onodera Advanced Tape Storage Development Department Metal Evaporated Media Division, Recording Media Company Sony Corporation Micro Systems

More information

Applied Materials. 200mm Tools & Process Capabilities For Next Generation MEMS. Dr Michel (Mike) Rosa

Applied Materials. 200mm Tools & Process Capabilities For Next Generation MEMS. Dr Michel (Mike) Rosa Applied Materials 200mm Tools & Process Capabilities For Next Generation MEMS Dr Michel (Mike) Rosa 200mm MEMS Global Product / Marketing Manager, Components and Systems Group (CSG), Applied Global Services

More information

Readiness and Challenges of EUV Mask

Readiness and Challenges of EUV Mask Panel Discussion: EUVL HVM Insertion and Scaling Readiness and Challenges of EUV Mask Takashi Kamo Toshiba Corporation Semiconductor & Storage Products Company Contents [1] Introduction [2] EUV Mask Defect

More information

Advances in Roll-to-Roll Imprint Lithography for Display Applications Using Self Aligned Imprint Lithography. John G Maltabes HP Labs

Advances in Roll-to-Roll Imprint Lithography for Display Applications Using Self Aligned Imprint Lithography. John G Maltabes HP Labs Advances in Roll-to-Roll Imprint Lithography for Display Applications Using Self Aligned Imprint Lithography John G Maltabes HP Labs Outline Introduction Roll to Roll Challenges and Benefits HP Labs Roll

More information

Automation in Semiconductor Manufacturing IEDM, San Francisco, 1982 Keynote Speech

Automation in Semiconductor Manufacturing IEDM, San Francisco, 1982 Keynote Speech Automation in Semiconductor Manufacturing IEDM, San Francisco, 1982 Keynote Speech Commentary Alongside ISSCC, IEDM is the most traditional academic conference in the semiconductor field, and it is held

More information

SEMICONDUCTOR TECHNOLOGY -CMOS-

SEMICONDUCTOR TECHNOLOGY -CMOS- SEMICONDUCTOR TECHNOLOGY -CMOS- Fire Tom Wada 2011/12/19 1 What is semiconductor and LSIs Huge number of transistors can be integrated in a small Si chip. The size of the chip is roughly the size of nails.

More information

SEMICONDUCTOR TECHNOLOGY -CMOS-

SEMICONDUCTOR TECHNOLOGY -CMOS- SEMICONDUCTOR TECHNOLOGY -CMOS- Fire Tom Wada What is semiconductor and LSIs Huge number of transistors can be integrated in a small Si chip. The size of the chip is roughly the size of nails. Currently,

More information

AIXTRON in EXCILIGHT project

AIXTRON in EXCILIGHT project AIXTRON SE AIXTRON in EXCILIGHT project Gintautas Simkus ABOUT AIXTRON 2 Who we are Headquarter based in Herzogenrath, Germany Worldwide presence with 14 sales/representatives offices and production facilities

More information

The Most Accurate Atomic Force Microscope. Park NX-PTR Fully Automated AFM for Accurate Inline Metrology of Hard Disk Head Sliders.

The Most Accurate Atomic Force Microscope. Park NX-PTR Fully Automated AFM for Accurate Inline Metrology of Hard Disk Head Sliders. The Most Accurate Atomic Force Microscope Park NX-PTR Fully Automated AFM for Accurate Inline Metrology of Hard Disk Head Sliders www.parkafm.com Park Systems The Most Accurate Atomic Force Microscope

More information

HB LEDs & OLEDs. Complete thin film process solutions

HB LEDs & OLEDs. Complete thin film process solutions HB LEDs & OLEDs Complete thin film process solutions Get off to a flying start for all your LED thin film deposition and etch processes From 2 inch to 8 inch Manual or fully automated substrate handling

More information

Reduction of Device Damage During Dry Etching of Advanced MMIC Devices Using Optical Emission Spectroscopy

Reduction of Device Damage During Dry Etching of Advanced MMIC Devices Using Optical Emission Spectroscopy Reduction of Device Damage During Dry Etching of Advanced MMIC Devices Using Optical Emission Spectroscopy D. Johnson, R. Westerman, M. DeVre, Y. Lee, J. Sasserath Unaxis USA, Inc. 10050 16 th Street North

More information

Technology Development for a Standard Cartridge Multi-terabyte Tape System NIST ATP PROGRAM 70NANB2H3040 PEREGRINE RECORDING TECHNOLOGY, INC.

Technology Development for a Standard Cartridge Multi-terabyte Tape System NIST ATP PROGRAM 70NANB2H3040 PEREGRINE RECORDING TECHNOLOGY, INC. Technology Development for a Standard Cartridge Multi-terabyte Tape System NIST ATP PROGRAM 70NANB2H3040 1 TECHNOLOGY AND STATUS REPORT 2 MAJOR PARTICIPANTS: PARTNERS Accutronics (Transports/Actuators)

More information

Recent results of Multi-beam mask writer MBM-1000

Recent results of Multi-beam mask writer MBM-1000 Recent results of Multi-beam mask writer MBM-1000 Hiroshi Matsumoto, Hiroshi Yamashita, Hideo Inoue, Kenji Ohtoshi, Hirokazu Yamada Member of the ebeam Initiative 1 NFT s mask writer roadmap 2016 Device

More information

New Worlds for Polymers: Organic Transistors, Light Emitting Diodes, and Optical Waveguides Ed Chandross

New Worlds for Polymers: Organic Transistors, Light Emitting Diodes, and Optical Waveguides Ed Chandross New Worlds for Polymers: Organic Transistors, Light Emitting Diodes, and Optical Waveguides Ed Chandross Materials Chemistry, LLC 1 Polymers in the Electronic Industry Enabling Materials Active Materials?

More information

IN-VISION All rights reserved. IN-VISION GmbH. B2B DLP Light Engine and Optical Solutions

IN-VISION All rights reserved. IN-VISION GmbH. B2B DLP Light Engine and Optical Solutions IN-VISION 2017. All rights reserved. IN-VISION GmbH B2B DLP Light Engine and Optical Solutions Company Long-term experience in development and manufacturing of high-end optical projection lens assemblies

More information

Etching Part 2. Saroj Kumar Patra. TFE4180 Semiconductor Manufacturing Technology. Norwegian University of Science and Technology ( NTNU )

Etching Part 2. Saroj Kumar Patra. TFE4180 Semiconductor Manufacturing Technology. Norwegian University of Science and Technology ( NTNU ) 1 Etching Part 2 Chapter : 16 Semiconductor Manufacturing Technology by M. Quirk & J. Serda Spring Semester 2014 Saroj Kumar Patra, Norwegian University of Science and Technology ( NTNU ) 2 Introduction

More information

Semiconductors Displays Semiconductor Manufacturing and Inspection Equipment Scientific Instruments

Semiconductors Displays Semiconductor Manufacturing and Inspection Equipment Scientific Instruments Semiconductors Displays Semiconductor Manufacturing and Inspection Equipment Scientific Instruments Electronics 110-nm CMOS ASIC HDL4P Series with High-speed I/O Interfaces Hitachi has released the high-performance

More information

The Future of Tape. Dr. Mark Lantz Manager Advanced Tape Technologies Principal Research Staff Member IBM Research - Zurich.

The Future of Tape. Dr. Mark Lantz Manager Advanced Tape Technologies Principal Research Staff Member IBM Research - Zurich. August, 2017 The Future of Tape Dr. Mark Lantz Manager Advanced Tape Technologies Principal Research Staff Member IBM Research - Zurich 2013 IBM Corporation Outline Introduction: The role of tape in the

More information

Nanotechnology Solutions Partner

Nanotechnology Solutions Partner Nanotechnology Solutions Partner Park Systems Corp. KANC 4F, Iui-Dong 6-10, Suwon, Korea 443-270 Tel. +82-31-546-6800 Fax. +82-31-546-6805 www.parkafm.co.kr Park Systems Inc. 3040 Olcott St. Santa Clara,

More information

Defect Analysis of Roll-to-Roll SAIL Manufactured Flexible Display Backplanes

Defect Analysis of Roll-to-Roll SAIL Manufactured Flexible Display Backplanes Defect Analysis of Roll-to-Roll SAIL Manufactured Flexible Display Backplanes Carl Taussig, Richard E. Elder, Warren B. Jackson, Albert Jeans, Mehrban Jam, Ed Holland, Hao Luo, John Maltabes, Craig Perlov,

More information

B-AFM. v East 33rd St., Signal Hill, CA (888)

B-AFM. v East 33rd St., Signal Hill, CA (888) B-AFM The B-AFM is a basic AFM that provides routine scanning. Ideal for scientists and educators, the B-AFM is capable of creating high-resolution topography images of nanostructures in standard scanning

More information

THE NEXT LEVEL IN ARCHITECTURAL GLASS COATING ADVANCED EQUIPMENT & PROCESS EXPERTISE ADVANCED EQUIPMENT & PROCESS EXPERTISE

THE NEXT LEVEL IN ARCHITECTURAL GLASS COATING ADVANCED EQUIPMENT & PROCESS EXPERTISE ADVANCED EQUIPMENT & PROCESS EXPERTISE THE NEXT LEVEL IN ARCHITECTURAL GLASS COATING ADVANCED EQUIPMENT & PROCESS EXPERTISE THE NEXT LEVEL IN ARCHITECTURAL GLASS COATING ADVANCED EQUIPMENT & PROCESS EXPERTISE ARCHITECTURAL GLASS COATING REACHES

More information

Fabrication of Lithium Niobate nanopillars using Focused Ion Beam (FIB)

Fabrication of Lithium Niobate nanopillars using Focused Ion Beam (FIB) Fabrication of Lithium Niobate nanopillars using Focused Ion Beam (FIB) Final report for Nanofabrication with Focused Ion and Electron beams course (SK3750) Amin Baghban June 2015 1- Introduction Thanks

More information

Progress in Scale-up of 2G HTS Wire at SuperPower Part I

Progress in Scale-up of 2G HTS Wire at SuperPower Part I superior performance. powerful technology. Progress in Scale-up of 2G HTS Wire at SuperPower Part I V. Selvamanickam & Y. Xie Y. Chen, X. Xiong, M. Martchevski, Y. Qiao, A. Rar, B. Gogia, R. Schmidt, A.

More information

Parts of dicing machines for scribing or scoring semiconductor wafers , , , , ,

Parts of dicing machines for scribing or scoring semiconductor wafers , , , , , US-Rev3 26 March 1997 With respect to any product described in or for Attachment B to the Annex to the Ministerial Declaration on Trade in Information Technology Products (WT/MIN(96)/16), to the extent

More information

Principles of Electrostatic Chucks 6 Rf Chuck Edge Design

Principles of Electrostatic Chucks 6 Rf Chuck Edge Design Principles of Electrostatic Chucks 6 Rf Chuck Edge Design Overview This document addresses the following chuck edge design issues: Device yield through system uniformity and particle reduction; System

More information

Abstract. Keywords INTRODUCTION. Electron beam has been increasingly used for defect inspection in IC chip

Abstract. Keywords INTRODUCTION. Electron beam has been increasingly used for defect inspection in IC chip Abstract Based on failure analysis data the estimated failure mechanism in capacitor like device structures was simulated on wafer in Front End of Line. In the study the optimal process step for electron

More information

Introduction of Medium Current Ion Implanter EXCEED3000AH-8C -New 200mm Tool-

Introduction of Medium Current Ion Implanter EXCEED3000AH-8C -New 200mm Tool- Introduction of Medium Current Ion Implanter EXCEED3000AH-8C -New 200mm Tool- JTG Seminar Semicon West July, 2016 Nissin Ion Equipment Co., Ltd. History of Si Wafer Size and Related Architecture of Ion

More information

1. Publishable summary

1. Publishable summary 1. Publishable summary 1.1. Project objectives. The target of the project is to develop a highly reliable high brightness conformable low cost scalable display for demanding applications such as their

More information

Tape scales or glass scales for a wide range of applications. Linear or rotary. Resolutions from 5µm to 1.2nm.

Tape scales or glass scales for a wide range of applications. Linear or rotary. Resolutions from 5µm to 1.2nm. High Performance Encoders MicroE Systems HIHER PERORMANCE SMALLER ASTER EASIER SMARTER MORE VERSATILE CUSTOMIZATION LEXIBLE DELIVERY RESPONSIVE TECH SUPPORT Innovative Encoder Systems that Deliver Performance

More information

Nanotechnology Solutions Partner

Nanotechnology Solutions Partner Nanotechnology Solutions Partner Park Systems Corp. KANC 4F, Iui-Dong 6-10, Suwon, Korea 443-270 Tel. +82-31-546-6800 Fax. +82-31-546-6805 www.parkafm.co.kr Park Systems Inc. 3040 Olcott St. Santa Clara,

More information

Removing the Last Road Block of Deploying ILT into 10nm Node by Model-based Mask Data Preparation and Overlapped Shots

Removing the Last Road Block of Deploying ILT into 10nm Node by Model-based Mask Data Preparation and Overlapped Shots Removing the Last Road Block of Deploying ILT into 10nm Node by Model-based Mask Data Preparation and Overlapped Shots Linyong (Leo) Pang Bo Su, Yohan Choi D2S, Inc. 1 193i Needed to be Extended and Extended

More information

LEP400 Etch Depth Monitor Real-time, in-situ plasma etch depth monitoring and end point control plus co-linear wafer vision system

LEP400 Etch Depth Monitor Real-time, in-situ plasma etch depth monitoring and end point control plus co-linear wafer vision system LEP400 Etch Depth Monitor Real-time, in-situ plasma etch depth monitoring and end point control plus co-linear wafer vision system Base Configuration Etch Depth Monitoring LEP400 Recessed Window Plasma

More information

ABSTRACT. Keywords: 3D NAND, FLASH memory, Channel hole, Yield enhancement, Defect inspection, Defect reduction DISCUSSION

ABSTRACT. Keywords: 3D NAND, FLASH memory, Channel hole, Yield enhancement, Defect inspection, Defect reduction DISCUSSION Yield enhancement of 3D flash devices through broadband brightfield inspection of the channel hole process module Jung-Youl Lee a, Il-Seok Seo a, Seong-Min Ma a, Hyeon-Soo Kim a, Jin-Woong Kim a DoOh Kim

More information

Layers of Innovation: How Signal Chain Innovations are Creating Analog Opportunities in a Digital World

Layers of Innovation: How Signal Chain Innovations are Creating Analog Opportunities in a Digital World The World Leader in High Performance Signal Processing Solutions Layers of Innovation: How Signal Chain Innovations are Creating Analog Opportunities in a Digital World Dave Robertson-- VP of Analog Technology

More information

Industrial Inline Control for Advanced Vacuum Roll to Roll Systems. Gerhard Steiniger Web inspection - surface Quallity control 7.

Industrial Inline Control for Advanced Vacuum Roll to Roll Systems. Gerhard Steiniger Web inspection - surface Quallity control 7. Industrial Inline Control for Advanced Vacuum Roll to Roll Systems Gerhard Steiniger Web inspection - surface Quallity control 7.4-7684 1 Industrial Inline Control for Advanced Vacuum Roll to Roll Systems

More information

DIRECT DRIVE ROTARY TABLES SRT SERIES

DIRECT DRIVE ROTARY TABLES SRT SERIES DIRECT DRIVE ROTARY TABLES SRT SERIES Key features: Direct drive Large center aperture Brushless motor design Precision bearing system Integrated position feedback Built-in thermal sensors ServoRing rotary

More information

EQUIPMENT VACUUM WEB COATING SYSTEMS ROLL-TO-ROLL ROLL-TO-ROLL

EQUIPMENT VACUUM WEB COATING SYSTEMS ROLL-TO-ROLL ROLL-TO-ROLL ROLL-TO-ROLL EQUIPMENT VACUUM WEB COATING SYSTEMS ROLL-TO-ROLL VACUUM WEB COATING APPLICATIONS VON ARDENNE provides tailored web coating solutions for today s most ambitious thin-film applications on polymer

More information

Next Generation 19 MM Recorder Technology

Next Generation 19 MM Recorder Technology Next Generation 19 MM Recorder Technology D. Morgan, T. Yoshida Sony Electronics Inc. 3300 Zanker Rd, San Jose, CA Tel: (408) 955-4925, Fax: (408) 955-5555 email: don_morgan@sdc.sel.sony.com April 21,

More information

Nanotechnology Solutions Partner

Nanotechnology Solutions Partner Nanotechnology Solutions Partner Park Systems Corp. KANC F, Iui-Dong 96-1, Suwon, Korea 3-27 Tel. +82-31-56-68 Fax. +82-31-56-685 www.parkafm.co.kr Park Systems Inc. 3 Olcott St. Santa Clara, CA 955 Tel.

More information

Advanced WLP Platform for High-Performance MEMS. Presented by Dean Spicer, Director of Engineering

Advanced WLP Platform for High-Performance MEMS. Presented by Dean Spicer, Director of Engineering Advanced WLP Platform for High-Performance MEMS Presented by Dean Spicer, Director of Engineering 1 May 11 th, 2016 1 Outline 1. Application Drivers for High Performance MEMS Sensors 2. Approaches to Achieving

More information

Circuits Assembly September 1, 2003 Duck, Allen

Circuits Assembly September 1, 2003 Duck, Allen Article from: Circuits Assembly Article date: September 1, 2003 Author: Duck, Allen Depaneling is an overlooked step in surface-mount production and involves the separation of a single piece from its carrier

More information

21 rue La Noue Bras de Fer Nantes - France Phone : +33 (0) website :

21 rue La Noue Bras de Fer Nantes - France Phone : +33 (0) website : 21 rue La Noue Bras de Fer - 44200 Nantes - France Phone : +33 (0) 240 180 916 - email : info@systemplus.fr - website : www.systemplus.fr 2012 September - Version 1 Written by: Maher Sahmimi DISCLAIMER

More information

Introduction and recent results of Multi-beam mask writer MBM-1000

Introduction and recent results of Multi-beam mask writer MBM-1000 Introduction and recent results of Multi-beam mask writer MBM-1000 Hiroshi Matsumoto, Yasuo Kato, Munehiro Ogasawara, Hirokazu Yamada February 23 rd, 2016 Member of the ebeam Initiative NFT s mask writer

More information

Photomask BACUS The international technical group of SPIE dedicated to the advancement of photomask technology.

Photomask BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. Photomask BACUS The international technical group of SPIE dedicated to the advancement of photomask technology. June 2011 Volume 27, Issue 6 Paper 7970-8 Progress in Mask Replication using Jet and Flash

More information

Pre SiGe Wet Cleans Development for sub 1x nm Technology Node

Pre SiGe Wet Cleans Development for sub 1x nm Technology Node Pre SiGe Wet Cleans Development for sub 1x nm Technology Node Akshey Sehgal, Anand Kadiyala, Michael DeVre and, Norberto Oliveria April 10 th, 2018 Background Due to higher aspect ratio features observed

More information

EUV Mask and Wafer Defectivity: Strategy and Evaluation for Full Die Defect Inspection

EUV Mask and Wafer Defectivity: Strategy and Evaluation for Full Die Defect Inspection EUV Mask and Wafer Defectivity: Strategy and Evaluation for Full Die Defect Inspection Ravi Bonam 1, Hung-Yu Tien 2, Acer Chou 2, Luciana Meli 1, Scott Halle 1, Ivy Wu 2, Xiaoxia Huang 2, Chris Lei 2,

More information

ADVANCED MICRO DEVICES, 2 CADENCE DESIGN SYSTEMS

ADVANCED MICRO DEVICES, 2 CADENCE DESIGN SYSTEMS METHODOLOGY FOR ANALYZING AND QUANTIFYING DESIGN STYLE CHANGES AND COMPLEXITY USING TOPOLOGICAL PATTERNS JASON CAIN 1, YA-CHIEH LAI 2, FRANK GENNARI 2, JASON SWEIS 2 1 ADVANCED MICRO DEVICES, 2 CADENCE

More information

ADVANCEMENTS IN GRAVURE TECHNOLOGY: FOR SUSTAINABILITY AND GROWTH PRINTED LIGHTING TECHNOLOGY

ADVANCEMENTS IN GRAVURE TECHNOLOGY: FOR SUSTAINABILITY AND GROWTH PRINTED LIGHTING TECHNOLOGY ADVANCEMENTS IN GRAVURE TECHNOLOGY: FOR SUSTAINABILITY AND GROWTH PRINTED LIGHTING TECHNOLOGY Marc Chason Marc Chason and Associates, Inc. marcchason@sbcglobal.net January 17, 2012 Logic Driven Value Chain

More information

3M High-Speed Solutions

3M High-Speed Solutions 3M High-Speed Solutions 3M 2011. All Rights Reserved. Distance 3M Electronic Solutions Division 3M Twin Axial and AOC Solutions Addressing Physical Medium Dependent in the InifiniBand Fabric 100m 10m 1m

More information

ksa ScanningPyro ksa ScanningPyro Full Carrier Temperature Maps at the Click of a Button!

ksa ScanningPyro ksa ScanningPyro Full Carrier Temperature Maps at the Click of a Button! ksa ScanningPyro Full Carrier Temperature Maps at the Click of a Button! The ksa ScanningPyro metrology tool is designed to quickly, easily, and accurately generate full wafer carrier temperature maps

More information

Developing an AFM-based Automatic Tool for NanoAsperity Quantification

Developing an AFM-based Automatic Tool for NanoAsperity Quantification Developing an AFM-based Automatic Tool for NanoAsperity Quantification September 18, 2008 Sergey Belikov*, Lin Huang, Jian Shi, Ji Ma, Jianli He, Bob Tench, and Chanmin Su Veeco Instruments Inc., Santa

More information

Cathode Studies at FLASH: CW and Pulsed QE measurements

Cathode Studies at FLASH: CW and Pulsed QE measurements Cathode Studies at FLASH: CW and Pulsed QE measurements L. Monaco, D. Sertore, P. Michelato S. Lederer, S. Schreiber Work supported by the European Community (contract number RII3-CT-2004-506008) 1/27

More information

Outline. Double Patterning 11/6/17. Motivation Techniques Future of Double Patterning. Rasha El-Jaroudi November 7 th

Outline. Double Patterning 11/6/17. Motivation Techniques Future of Double Patterning. Rasha El-Jaroudi November 7 th Double Patterning Rasha El-Jaroudi November 7 th 2017 reljaroudi@utexas.edu Outline Motivation Techniques Future of Double Patterning Rasha H. El-Jaroudi 2 1 Motivation Need to keep up with Moore s Law

More information

Wafer defects can t hide from

Wafer defects can t hide from WAFER DEFECTS Article published in Issue 3 2016 Wafer defects can t hide from Park Systems Atomic Force Microscopy (AFM) leader Park Systems has simplified 300mm silicon wafer defect review by automating

More information

High performance optical blending solutions

High performance optical blending solutions High performance optical blending solutions WHY OPTICAL BLENDING? Essentially it is all about preservation of display dynamic range. Where projected images overlap in a multi-projector display, common

More information

COMPARISON OF EUV SINGLE EXPOSURE VS. 193i MULTIPLE PATTERING FOR N10 BEOL CHRISTOPHER J. WILSON

COMPARISON OF EUV SINGLE EXPOSURE VS. 193i MULTIPLE PATTERING FOR N10 BEOL CHRISTOPHER J. WILSON COMPARISON OF EUV SINGLE EXPOSURE VS. 193i MULTIPLE PATTERING FOR N10 BEOL CHRISTOPHER J. WILSON - CONTRIBUTORS FORM ADVANCED LITHO AND CU-LOW-K IIAP PROGRAMS - ASML VELDHOVEN DEMO LAB FOR EXPOSURES EUV

More information

PUBLISHABLE Summary To provide OLED stacks with improved reliability Provide improved thin film encapsulation

PUBLISHABLE Summary To provide OLED stacks with improved reliability Provide improved thin film encapsulation PUBLISHABLE Summary SCOOP is a European funded project (FP7 project number 287595 SCOOP). It is focused on OLED technology, microdisplays based on the combination of OLED with CMOS technology, and innovative

More information

New Rotary Magnetron Magnet Bar Improves Target Utilization and Deposition Uniformity

New Rotary Magnetron Magnet Bar Improves Target Utilization and Deposition Uniformity Society of Vacuum Coaters 2013 Technical Conference Presentation New Rotary Magnetron Magnet Bar Improves Target Utilization and Deposition Uniformity John Madocks & Phong Ngo, General Plasma Inc., 546

More information

Practical Application of the Phased-Array Technology with Paint-Brush Evaluation for Seamless-Tube Testing

Practical Application of the Phased-Array Technology with Paint-Brush Evaluation for Seamless-Tube Testing ECNDT 2006 - Th.1.1.4 Practical Application of the Phased-Array Technology with Paint-Brush Evaluation for Seamless-Tube Testing R.H. PAWELLETZ, E. EUFRASIO, Vallourec & Mannesmann do Brazil, Belo Horizonte,

More information

VACUUM WEB COATING SYSTEMS ROLL-TO-ROLL ROLL-TO-ROLL VACUUM WEB COATING SYSTEMS

VACUUM WEB COATING SYSTEMS ROLL-TO-ROLL ROLL-TO-ROLL VACUUM WEB COATING SYSTEMS VACUUM WEB COATING SYSTEMS ROLL-TO-ROLL ROLL-TO-ROLL VACUUM WEB COATING SYSTEMS VACUUM WEB COATING APPLICATIONS VON ARDENNE provides tailored web coating solutions for today s most ambitious thin-film

More information

Multi-Shaped E-Beam Technology for Mask Writing

Multi-Shaped E-Beam Technology for Mask Writing Multi-Shaped E-Beam Technology for Mask Writing Juergen Gramss a, Arnd Stoeckel a, Ulf Weidenmueller a, Hans-Joachim Doering a, Martin Bloecker b, Martin Sczyrba b, Michael Finken b, Timo Wandel b, Detlef

More information

Leveraging 300 mm Technology Solutions to Enable New MEMS Process Capabilities

Leveraging 300 mm Technology Solutions to Enable New MEMS Process Capabilities Leveraging 300 mm Technology Solutions to Enable New MEMS Process Capabilities Evan Patton Semicon Europa November 2017 Lam Research Corp. 1 Presentation Outline The Internet of Things (IoT) as a market

More information

Double Patterning OPC and Design for 22nm to 16nm Device Nodes

Double Patterning OPC and Design for 22nm to 16nm Device Nodes Double Patterning OPC and Design for 22nm to 16nm Device Nodes Kevin Lucas, Chris Cork, Alex Miloslavsky, Gerry Luk-Pat, Xiaohai Li, Levi Barnes, Weimin Gao Synopsys Inc. Vincent Wiaux IMEC 1 Outline Introduction

More information

Flexible Electronics Production Deployment on FPD Standards: Plastic Displays & Integrated Circuits. Stanislav Loboda R&D engineer

Flexible Electronics Production Deployment on FPD Standards: Plastic Displays & Integrated Circuits. Stanislav Loboda R&D engineer Flexible Electronics Production Deployment on FPD Standards: Plastic Displays & Integrated Circuits Stanislav Loboda R&D engineer The world-first small-volume contract manufacturing for plastic TFT-arrays

More information

LCOS for Large-Screen HDTV

LCOS for Large-Screen HDTV LCOS for LargeScreen HDTV BOB MELCHER, CTO JULY 28, 2004 LCOS HDTV 2 Agenda What is the opportunity for microdisplay HDTVs? Why are LCOS microdisplays the preferred technology for highperformance HDTV?

More information

Methodology for Trench Capacitor Etch Optimization using Voltage Contrast Inspection and Special Processing

Methodology for Trench Capacitor Etch Optimization using Voltage Contrast Inspection and Special Processing Methodology for Trench Capacitor Etch Optimization using Voltage Contrast Inspection and Special Processing 1 Oliver D. Patterson, 1 Xing J. Zhou, 1 Rohit S. Takalkar, 1 Katherine V. Hawkins, 1 Eric H.

More information

Possible Paths for Cu CMP

Possible Paths for Cu CMP Possible Paths for Cu CMP J.S. Drewery, V. Hardikar, S.T. Mayer, H. Meinhold, F. Juarez, and J. Svirchevski Presented by Julia Svirchevski Agenda Perceived Need for ECMP Technology Differentiation Profile

More information

Transforming Electronic Interconnect Breaking through historical boundaries Tim Olson Founder & CTO

Transforming Electronic Interconnect Breaking through historical boundaries Tim Olson Founder & CTO Transforming Electronic Interconnect Breaking through historical boundaries Tim Olson Founder & CTO Remember when? There were three distinct industries Wafer Foundries SATS EMS Semiconductor Devices Nanometers

More information

InvenSense Fabless Model for the MEMS Industry

InvenSense Fabless Model for the MEMS Industry InvenSense Fabless Model for the MEMS Industry HKSTP Symposium Aug 2016 InvenSense, Inc. Proprietary Outline MEMS Market InvenSense CMOS-MEMS Integration InvenSense Shuttle Program and Process MEMS MARKET

More information

CHA EVAPORATOR Short Reference User guidelines

CHA EVAPORATOR Short Reference User guidelines CHA EVAPORATOR Short Reference User guidelines by Carlos Manzanedo. Last revised: 10/05/2000 p.1 Procedure: The CHA evaporator is divided into 16 different panels. These panels are labeled on the machine

More information

How UV selectable illumination inspection tool and methodologies can accelerate learning curve of advanced technologies

How UV selectable illumination inspection tool and methodologies can accelerate learning curve of advanced technologies How UV selectable illumination inspection tool and methodologies can accelerate learning curve of advanced technologies V. Twines, C. Archambuult, B. Hinschberger, E. Rouchouze ST Microelectronic Crolles

More information

Layout Analysis Analog Block

Layout Analysis Analog Block Layout Analysis Analog Block Sample Report Analysis from an HD Video/Audio SoC For any additional technical needs concerning semiconductor and electronics technology, please call Sales at Chipworks. 3685

More information

CHA EVAPORATOR. User guidelines. p.1. by Carlos Manzanedo. Last revised: 10/05/2000

CHA EVAPORATOR. User guidelines. p.1. by Carlos Manzanedo. Last revised: 10/05/2000 CHA EVAPORATOR User guidelines by Carlos Manzanedo. Last revised: 10/05/2000 p.1 Introduction. The CHA evaporator has the following characteristics: 1. 2 independent resistive power supplies. 2. 1 Electron

More information

HELICAL SCAN TECHNOLOGY: ADVANCEMENT BY DESIGN

HELICAL SCAN TECHNOLOGY: ADVANCEMENT BY DESIGN HELICAL SCAN TECHNOLOGY: ADVANCEMENT BY DESIGN By Curt Mulder And Kelly Scharf Exabyte Corporation THIC Conference Del Mar, CA 1/20/98 1685 38 th Street Boulder, CO 80301 +1-303-442-4333 +1-303-417-7080

More information

CARESTREAM DIRECTVIEW Elite CR System

CARESTREAM DIRECTVIEW Elite CR System CARESTREAM DIRECTVIEW Elite CR System Improve workflow, productivity, and patient throughput. The CARESTREAM DIRECTVIEW Elite CR System is small, easy to install and easy to use. This powerful distributed

More information

DOUBLE PATTERNING CHALLENGES FOR 20nm TECHNOLOGY

DOUBLE PATTERNING CHALLENGES FOR 20nm TECHNOLOGY DOUBLE PATTERNING CHALLENGES FOR 20nm TECHNOLOGY SEMICON DRESDEN TechARENA OCTOBER 12 th 2011 Vincent Farys, Bertrand Le-Gratiet, Pierre-Jérôme Goirand STMicroelectronics Crolles 2 OUTLINE Lithography

More information

Revolutionary AOI Technology, Unbelievable Speed World's Fastest and Most Accurate 3D SPI

Revolutionary AOI Technology, Unbelievable Speed World's Fastest and Most Accurate 3D SPI Revolutionary AOI Technology, Unbelievable Speed World's Fastest and Most Accurate 3D SPI The Recognized Leader for Quality Inspection Solutions 1 WORLD'S FASTEST AND MOST ACCURATE 3D SPI 3 YEAR WARRANTY*

More information

CARESTREAM DIRECTVIEW Elite CR System

CARESTREAM DIRECTVIEW Elite CR System CARESTREAM DIRECTVIEW Elite CR System Improve workflow, productivity, and patient throughput. The CARESTREAM DIRECTVIEW Elite CR System is small, easy to install and easy to use. This powerful distributed

More information

Summary of recent photocathode studies

Summary of recent photocathode studies Summary of recent photocathode studies S. Lederer, S. Schreiber DESY L. Monaco, D. Sertore INFN Milano LASA FLASH seminar November 17 th, 2009 Outlook Cs 2 Te photocathodes Pulsed QE measurements laser

More information

April Figure 1. SEM image of tape using MP particles. Figure 2. SEM image of tape using BaFe particles

April Figure 1. SEM image of tape using MP particles. Figure 2. SEM image of tape using BaFe particles April 2013 ABSTRACT The latest and sixth generation of Linear Tape Open (LTOTM) technology introduces two magnetic pigment particle options for users of tape. The two particle options include Metal Particulates

More information

DIGITAL METAL DM P2500 YOUR FAST TRACK TO HIGH-QUALITY 3D METAL PARTS DM P2500

DIGITAL METAL DM P2500 YOUR FAST TRACK TO HIGH-QUALITY 3D METAL PARTS DM P2500 DIGITAL METAL DM P2500 YOUR FAST TRACK TO HIGH-QUALITY 3D METAL PARTS DM P2500 FAST AND FURIOUSLY EFFECTIVE The DM P2500 is a sturdy workhorse where no efforts have been spared to make it as accurate as

More information

Spatial Response of Photon Detectors used in the Focusing DIRC prototype

Spatial Response of Photon Detectors used in the Focusing DIRC prototype Spatial Response of Photon Detectors used in the Focusing DIRC prototype C. Field, T. Hadig, David W.G.S. Leith, G. Mazaheri, B. Ratcliff, J. Schwiening, J. Uher, J. Va vra SLAC 11/26/04 Presented by J.

More information