ABSTRACT. Keywords: 3D NAND, FLASH memory, Channel hole, Yield enhancement, Defect inspection, Defect reduction DISCUSSION

Size: px
Start display at page:

Download "ABSTRACT. Keywords: 3D NAND, FLASH memory, Channel hole, Yield enhancement, Defect inspection, Defect reduction DISCUSSION"

Transcription

1 Yield enhancement of 3D flash devices through broadband brightfield inspection of the channel hole process module Jung-Youl Lee a, Il-Seok Seo a, Seong-Min Ma a, Hyeon-Soo Kim a, Jin-Woong Kim a DoOh Kim b, Andrew Cross b a SK hynix Inc, 2091 Gyeongchung-daero, Bubal-eub, Icheon-si, Gyeonggi-do , South Korea; b KLA-Tencor Company, One Technology Drive, Milpitas, CA 95034, USA ABSTRACT The migration to a 3D implementation for NAND flash devices is seen as the leading contender to replace traditional planar NAND architectures. However the strategy of replacing shrinking design rules with greater aspect ratios is not without its own set of challenges. The yield-limiting defect challenges for the planar NAND front end were primarily bridges, protrusions and residues at the bottom of the gates, while the primary challenges for front end 3D NAND is buried particles, voids and bridges in the top, middle and bottom of high aspect ratio structures. Of particular interest are the yield challenges in the channel hole process module and developing an understanding of the contribution of litho and etch defectivity for this challenging new integration scheme. The key defectivity and process challenges in this module are missing, misshapen channel holes or under-etched channel holes as well as reducing noise sources related to other none yield limiting defect types and noise related to the process integration scheme. These challenges are expected to amplify as the memory density increases. In this study we show that a broadband brightfield approach to defect monitoring can be uniquely effective for the channel hole module. This approach is correlated to end-of-line (EOL) Wafer Bin Map for verification of capability. Keywords: 3D NAND, FLASH memory, Channel hole, Yield enhancement, Defect inspection, Defect reduction DISCUSSION Defect inspections are an essential part of modern semiconductor manufacturing. Inspections can be inserted after most process steps. They are non-destructive and give inline identification or feedback about problems in the manufacturing flow by identifying baseline defect types as well as excursions or special events. Comparison of inspection results from several steps can often be used to quickly locate the root cause of a problem, by identifying where an issue first occurs in the process. However if a defect inspection recipe is insensitive, excursions or even important baseline defect types may be missed. If the recipe picks up too many noise or nuisance defects, false alarms may occur or the DOI may be missed among the high number of other defects. In process development, critical decisions may not be possible because the inspection misses the difference between different processes. Also valuable inspection tool time is wasted for inspections that yield no useful results. Inspection optimization on brightfield inspection tools is essential to maximize the material contrast and therefore maximize the sensitivity to defects of interest whilst suppressing noise or nuisance defect types. Today brightfield inspection systems offer great flexibility to cover a wide range of inspection applications. To enable this flexibility there is the ability to select certain wavelengths modes, apertures, wafer orientation, focus offset, directional e- field, pixel size and multiple post processing techniques for sensitivity improvement, noise reduction or sampling. Depending on the film stack, pattern of interest and defect types of interest, different combinations of modes and apertures can provide increased sensitivity to defects of interest or suppression of wafer noise. A rigorous inspection setup methodology is typically required in device development where a new device and process integration scheme are often encountered and previous inspection learning for a particular layer can only be used as a starting point, requiring verification and quantification of its performance in comparison to other modes. Probably the Advanced Etch Technology for Nanopatterning II, edited by Ying Zhang, Gottlieb S. Oehrlein, Qinghuang Lin, Proc. of SPIE Vol. 8685, 86850U 2013 SPIE CCC code: X/13/$18 doi: / Proc. of SPIE Vol U-1

2 most important aspect of recipe setup on a brightfield inspection system is to ensure the inspection tool is able to see the correct structures of interest with good contrast and resolution. The first step is to identify potential inspection modes and compare the signal of the defects of interest to the background wafer noise. Through this signal to noise analysis the best mode for a particular DOI / layer stack can be selected. Figure 1 shows such a methodology where multiple initial inspection modes are run as hot scans and then, after defect review, best modes can be selected for re-scan and a final mode selected for optimization. Setup Base Recipe Determine Initial Modes OSTS &Hot Scan Initial Modes Sample Defects for SEM Review SEM Review Sample Defects for Optics Selection Camera Down-Select Optics Selector Selection Hot Scan Best Modes Sample Defects for SEM Review SEM Review Final Optimization w/ ido NEF Run multiple Lots / Production Final Optimization Figure 1: Engineering / Process development inspection optimization flow Figure 2 below shows an example of this mode selection to maximize one of the key DOI types at the channel hole formation classified as a bunker defect. Proc. of SPIE Vol U-2

3 Mode A Mode B Mode C Mode D Mode E Mode F Mode G Figure 2: Mode selection to maximize sensitivity of bunker defects Mode A can be seen to provide both the best resolution of the pattern as well as the highest signal to the defect of interest and through this rigorous methodology can be identified as the best mode. 3D NAND devices and processes bring some new and unique inspection and review challenges, due to the nature of the devices, materials and structures involved. The channel hole is a key patterning step in the 3D NAND cell formation as this becomes the vertical channels for 16, 32, 48 or even 64 NAND cells stacked vertically [1]. The structure may be several microns high and therefore many of the defects of interest such as underetch or embedded defects can be buried. The channel hole under discussion in this paper is the key patterning step to form the hole into which the gate dielectric and channel are deposited. The nature of the device architecture and the materials involved make not only inspection a challenge but also defect verification, as we can no longer adequately classify all defects of interest by SEM review alone. The traditional optimization flow relies on SEM review for identification of defects of interest, as typically previous layer defects are not considered to be of interest. Here we must also consider real defects that are optically detected but SEM non-visible. In this case optical or defect patch review (a patch being the gray level image captured by the inspection tool) becomes an essential step in the defect optimization flow. Physical failure analysis has been used during development to confirm these defects types. Figure 3 below shows such a real, optically detected defect that was SEM non-visible and TEM cross section showed to be a buried defect of interest. Proc. of SPIE Vol U-3

4 Figure 3: Optically detected, SEM non-visible, buried defects are potential DOI for 3D NAND The final inspection for the 3D NAND development flow at SK hynix, the channel hole after clean inspection (ACI) step, was optimized to maximize both capture of surface defects / patterned defects verified by SEM as potential issues from the patterning process as well as these buried defects. Of particular interest were defects caused by underetch of the channel holes added during the channel hole patterning process. Also of interest during process development are any wafer level signatures that can be detected, as these provide clues for the process team to reduce initial defect issues and achieve optimized unit processes faster. The optimum inspection modes were the longer wavelength modes available on today s brightfield inspection tools, as predicted by theory to penetrate the 3D NAND cell stack, as penetration depth increases with wavelength. Investigation in this case showed the need for inspection modes selecting specific bands with broadband illumination to capture yield limiting defects, and for the channel hole module a mode was identified that best captured the defect types of interest. Initial example wafers showed a ring signature. This correlated well both at wafer level and die level to end of line electrical test results as shown in Figure 4 & Figure 5. Figure 4: Wafer level signatures seen post wafer inspection (PWI) - channel hole ACI and post electrical test Proc. of SPIE Vol U-4

5 PWI Defect Map Wafer Bin-Map Figure 5: Die level signatures seen post wafer Inspection (PWI) - channel hole ACI and post electrical test In addition, noise suppression techniques were essential for maximizing the capture of defects of interest. The mode selection and noise suppression requirements were confirmed with simulation studies both at SK hynix and KLA-Tencor to provide signal to buried defects of interest. Figure 6 shows simulation results comparing the expected signal for a buried defect between two of the inspection modes investigated. Mode B Mode A Figure 6: Simulation confirmation for buried DOI detection comparing Mode A & Mode B with noise suppression For semiconductor device development and manufacturing, both litho and post etch (typically post final clean) are two of the most critical inspection steps, and have been the focus of much of the brightfield inspection effort since inspection tools were introduced into the fab for line monitoring. These two processes are often the cause of the majority of patterning defects (though previous layer defects can make a significant contribution in some cases). As part of the inspection a comparison between post develop and post etch defectivity for the channel hole module was made. After develop inspection (ADI) is performed on product wafers after photoresist coating, exposure and development. It is used typically to try and identify both gross patterning issues as well as subtle patterning defects that can impact product yield or device reliability. Inspecting product wafers at ADI instead of ACI, where the wafer has been etched and Proc. of SPIE Vol U-5

6 cleaned) has several advantages. It shortens the feedback loop to litho-specific issues, allowing potential re-work and lowering yield risks associated with the litho process. There are multiple applications related to ADI, in addition to the production monitoring or engineering source identification applications we are utilizing here: there are also haze monitoring, scanner or reticle qualification / re-qualification, photo cell monitoring and process window qualification. However, because of the challenges associated with ADI inspection (especially lower contrast and prior level nuisance defects that could be seen through the resist coat), fabs often do not monitor ADI especially during process development, but instead focus only on the ACI step only. This can lead to slower learning of critical defect types and their potential sources or, in the worst case, wrong conclusions on potential defect sources. A study was performed comparing ADI and ACI results, to identify sources of baseline defect types in the channel hole formation module. ACI inspection results identified the defect spatial distribution of interest, and review showed both closed / misshapen contacts as well as bunker defects in the pareto. The source of both of these defect types were of particular interest to the Defect, Yield enhancement and Process teams in the optimization of the 3D NAND process. Figure 7: ACI partitioning result spatial signature of closed / misshapen channel holes A study of the ADI inspection step showed the same spatial distribution and identified the cause of this distribution to be related to resist coat (Figure 8), with the majority of defects classified as missing or misshapen channel holes (bad coating in the pareto). Figure 9 shows the defect source pareto, which provides the relative contribution by defect type for the two inspection steps of interest. This pareto chart can be used to visualize the relative number of defects at a final inspection step that were previously identified at one or more inspection steps earlier in the process. This analysis confirms the majority of patterning defects (bad coat) originated from the litho step.»oj -.P71711=74 il11fi1-j frï.iy Figure 8: ADI wafer distribution correlating to signature of interest Proc. of SPIE Vol U-6

7 80 Dd. type Process Coating Bunker Bomb Particle SNV Defect count 70 Defect count AC I Defect cou nt AD I Bomb Particle Figure 9: Defect source pareto for ADI and ACI The defect source pareto also confirms that the bunker defects are not present at ADI and are added in the post ACI pareto, thus it was confirmed that the bunker defects were associated with the channel hole etch process. CONCLUSIONS By utilizing best optical modes and noise reduction techniques available on broadband brightfield inspection tools, critical defect spatial distributions and defect types affecting the complicated stack structure of a 3D NAND device in the channel hole formation module were identified inline and compared to end of line electrical results. Physical failure analysis verified the defects as arising from both surface patterning and buried defects in the 3D NAND device structure. This method for defect learning, together with confirmation of the defects yield impact allowed the defect team to identify and fix the source of these issues, allowing rapid ramp of the prototype devices. This optimization relied critically on the longer wavelength modes available on the broadband optical inspection tool. Simulation studies of long wavelength modes confirmed the effectiveness of this approach for further increasing sensitivity to these critical buried defects. REFERENCES [1] Yoon Kim, Jang-Gn Yun, Se Hwan Park, Wandong Kim, Joo Yun Seo, Myounggon Kang, Kyung-Chang Ryoo, Jeong-Hoon Oh, Jong-Ho Lee, Hyungcheol Shin, Byung-Gook Park, Three-Dimensional NAND Flash Architecture Design Based on Single-Crystalline Stacked Array IEEE Transactions on Electron Devices, Vol. 59, No. 1, January 2012 Proc. of SPIE Vol U-7

Abstract. Keywords INTRODUCTION. Electron beam has been increasingly used for defect inspection in IC chip

Abstract. Keywords INTRODUCTION. Electron beam has been increasingly used for defect inspection in IC chip Abstract Based on failure analysis data the estimated failure mechanism in capacitor like device structures was simulated on wafer in Front End of Line. In the study the optimal process step for electron

More information

Auto classification and simulation of mask defects using SEM and CAD images

Auto classification and simulation of mask defects using SEM and CAD images Auto classification and simulation of mask defects using SEM and CAD images Tung Yaw Kang, Hsin Chang Lee Taiwan Semiconductor Manufacturing Company, Ltd. 25, Li Hsin Road, Hsinchu Science Park, Hsinchu

More information

PROCEEDINGS OF SPIE. Classification and printability of EUV mask defects from SEM images

PROCEEDINGS OF SPIE. Classification and printability of EUV mask defects from SEM images PROCEEDINGS OF SPIE SPIEDigitalLibrary.org/conference-proceedings-of-spie Classification and printability of EUV mask defects from SEM images Wonil Cho, Daniel Price, Paul A. Morgan, Daniel Rost, Masaki

More information

How UV selectable illumination inspection tool and methodologies can accelerate learning curve of advanced technologies

How UV selectable illumination inspection tool and methodologies can accelerate learning curve of advanced technologies How UV selectable illumination inspection tool and methodologies can accelerate learning curve of advanced technologies V. Twines, C. Archambuult, B. Hinschberger, E. Rouchouze ST Microelectronic Crolles

More information

Methodology for Trench Capacitor Etch Optimization using Voltage Contrast Inspection and Special Processing

Methodology for Trench Capacitor Etch Optimization using Voltage Contrast Inspection and Special Processing Methodology for Trench Capacitor Etch Optimization using Voltage Contrast Inspection and Special Processing 1 Oliver D. Patterson, 1 Xing J. Zhou, 1 Rohit S. Takalkar, 1 Katherine V. Hawkins, 1 Eric H.

More information

Advanced Display Manufacturing Technology

Advanced Display Manufacturing Technology Advanced Display Manufacturing Technology John Busch Vice President, New Business Development Display and Flexible Technology Group September 28, 2017 Safe Harbor This presentation contains forward-looking

More information

Self-Aligned Double Patterning for 3xnm Flash Production

Self-Aligned Double Patterning for 3xnm Flash Production Self-Aligned Double Patterning for 3xnm Flash Production Chris Ngai Dir of Process Engineering & Lithography Maydan Technology Center Group Applied Materials, Inc. July 16 th, 2008 Overview Double Patterning

More information

Inspection of 32nm imprinted patterns with an advanced e-beam inspection system

Inspection of 32nm imprinted patterns with an advanced e-beam inspection system Inspection of 32nm imprinted patterns with an advanced e-beam inspection system Hong Xiao, Long (Eric) Ma, Fei Wang, Yan Zhao, and Jack Jau Hermes Microvision, Inc., 1762 Automation Parkway, San Jose,

More information

Overcoming Challenges in 3D NAND Volume Manufacturing

Overcoming Challenges in 3D NAND Volume Manufacturing Overcoming Challenges in 3D NAND Volume Manufacturing Thorsten Lill Vice President, Etch Emerging Technologies and Systems Flash Memory Summit 2017, Santa Clara 2017 Lam Research Corp. Flash Memory Summit

More information

An Overview of the Performance Envelope of Digital Micromirror Device (DMD) Based Projection Display Systems

An Overview of the Performance Envelope of Digital Micromirror Device (DMD) Based Projection Display Systems An Overview of the Performance Envelope of Digital Micromirror Device (DMD) Based Projection Display Systems Dr. Jeffrey B. Sampsell Texas Instruments Digital projection display systems based on the DMD

More information

Failure Analysis Technology for Advanced Devices

Failure Analysis Technology for Advanced Devices ISHIYAMA Toshio, WADA Shinichi, KUZUMI Hajime, IDE Takashi Abstract The sophistication of functions, miniaturization and reduced weight of household appliances and various devices have been accelerating

More information

UV Nanoimprint Tool and Process Technology. S.V. Sreenivasan December 13 th, 2007

UV Nanoimprint Tool and Process Technology. S.V. Sreenivasan December 13 th, 2007 UV Nanoimprint Tool and Process Technology S.V. Sreenivasan December 13 th, 2007 Agenda Introduction Need tool and process technology that can address: Patterning and CD control Alignment and Overlay Defect

More information

Design of Vision Embedded Platform with AVR

Design of Vision Embedded Platform with AVR Design of Vision Embedded Platform with AVR 1 In-Kyu Jang, 2 Dai-Tchul Moon, 3 Hyoung-Kie Yoon, 4 Jae-Min Jang, 5 Jeong-Seop Seo 1 Dept. of Information & Communication Engineering, Hoseo University, Republic

More information

Advances in Roll-to-Roll Imprint Lithography for Display Applications Using Self Aligned Imprint Lithography. John G Maltabes HP Labs

Advances in Roll-to-Roll Imprint Lithography for Display Applications Using Self Aligned Imprint Lithography. John G Maltabes HP Labs Advances in Roll-to-Roll Imprint Lithography for Display Applications Using Self Aligned Imprint Lithography John G Maltabes HP Labs Outline Introduction Roll to Roll Challenges and Benefits HP Labs Roll

More information

Flexible Electronics Production Deployment on FPD Standards: Plastic Displays & Integrated Circuits. Stanislav Loboda R&D engineer

Flexible Electronics Production Deployment on FPD Standards: Plastic Displays & Integrated Circuits. Stanislav Loboda R&D engineer Flexible Electronics Production Deployment on FPD Standards: Plastic Displays & Integrated Circuits Stanislav Loboda R&D engineer The world-first small-volume contract manufacturing for plastic TFT-arrays

More information

EUV Mask and Wafer Defectivity: Strategy and Evaluation for Full Die Defect Inspection

EUV Mask and Wafer Defectivity: Strategy and Evaluation for Full Die Defect Inspection EUV Mask and Wafer Defectivity: Strategy and Evaluation for Full Die Defect Inspection Ravi Bonam 1, Hung-Yu Tien 2, Acer Chou 2, Luciana Meli 1, Scott Halle 1, Ivy Wu 2, Xiaoxia Huang 2, Chris Lei 2,

More information

Wafer defects can t hide from

Wafer defects can t hide from WAFER DEFECTS Article published in Issue 3 2016 Wafer defects can t hide from Park Systems Atomic Force Microscopy (AFM) leader Park Systems has simplified 300mm silicon wafer defect review by automating

More information

Wafer Thinning and Thru-Silicon Vias

Wafer Thinning and Thru-Silicon Vias Wafer Thinning and Thru-Silicon Vias The Path to Wafer Level Packaging jreche@trusi.com Summary A new dry etching technology Atmospheric Downstream Plasma (ADP) Etch Applications to Packaging Wafer Thinning

More information

Nano-Imprint Lithography Infrastructure: Imprint Templates

Nano-Imprint Lithography Infrastructure: Imprint Templates Nano-Imprint Lithography Infrastructure: Imprint Templates John Maltabes Photronics, Inc Austin, TX 1 Questions to keep in mind Imprint template manufacturability Resolution Can you get sub30nm images?

More information

Semiconductors Displays Semiconductor Manufacturing and Inspection Equipment Scientific Instruments

Semiconductors Displays Semiconductor Manufacturing and Inspection Equipment Scientific Instruments Semiconductors Displays Semiconductor Manufacturing and Inspection Equipment Scientific Instruments Electronics 110-nm CMOS ASIC HDL4P Series with High-speed I/O Interfaces Hitachi has released the high-performance

More information

Advancements in Acoustic Micro-Imaging Tuesday October 11th, 2016

Advancements in Acoustic Micro-Imaging Tuesday October 11th, 2016 Central Texas Electronics Association Advancements in Acoustic Micro-Imaging Tuesday October 11th, 2016 A review of the latest advancements in Acoustic Micro-Imaging for the non-destructive inspection

More information

Reducing tilt errors in moiré linear encoders using phase-modulated grating

Reducing tilt errors in moiré linear encoders using phase-modulated grating REVIEW OF SCIENTIFIC INSTRUMENTS VOLUME 71, NUMBER 6 JUNE 2000 Reducing tilt errors in moiré linear encoders using phase-modulated grating Ju-Ho Song Multimedia Division, LG Electronics, #379, Kasoo-dong,

More information

Leveraging 300 mm Technology Solutions to Enable New MEMS Process Capabilities

Leveraging 300 mm Technology Solutions to Enable New MEMS Process Capabilities Leveraging 300 mm Technology Solutions to Enable New MEMS Process Capabilities Evan Patton Semicon Europa November 2017 Lam Research Corp. 1 Presentation Outline The Internet of Things (IoT) as a market

More information

nmos transistor Basics of VLSI Design and Test Solution: CMOS pmos transistor CMOS Inverter First-Order DC Analysis CMOS Inverter: Transient Response

nmos transistor Basics of VLSI Design and Test Solution: CMOS pmos transistor CMOS Inverter First-Order DC Analysis CMOS Inverter: Transient Response nmos transistor asics of VLSI Design and Test If the gate is high, the switch is on If the gate is low, the switch is off Mohammad Tehranipoor Drain ECE495/695: Introduction to Hardware Security & Trust

More information

At-speed testing made easy

At-speed testing made easy At-speed testing made easy By Bruce Swanson and Michelle Lange, EEdesign.com Jun 03, 2004 (5:00 PM EDT) URL: http://www.eedesign.com/article/showarticle.jhtml?articleid=21401421 Today's chip designs are

More information

Developing an AFM-based Automatic Tool for NanoAsperity Quantification

Developing an AFM-based Automatic Tool for NanoAsperity Quantification Developing an AFM-based Automatic Tool for NanoAsperity Quantification September 18, 2008 Sergey Belikov*, Lin Huang, Jian Shi, Ji Ma, Jianli He, Bob Tench, and Chanmin Su Veeco Instruments Inc., Santa

More information

Defect Reduction for Semiconductor Memory Applications Using Jet And Flash Imprint Lithography

Defect Reduction for Semiconductor Memory Applications Using Jet And Flash Imprint Lithography Defect Reduction for Semiconductor Memory Applications Using Jet And Flash Imprint Lithography Zhengmao Ye, Kang Luo, Xiaoming Lu, Brian Fletcher, Weijun Liu, Frank Xu, Dwayne LaBrake, Douglas Resnick,

More information

Readiness and Challenges of EUV Mask

Readiness and Challenges of EUV Mask Panel Discussion: EUVL HVM Insertion and Scaling Readiness and Challenges of EUV Mask Takashi Kamo Toshiba Corporation Semiconductor & Storage Products Company Contents [1] Introduction [2] EUV Mask Defect

More information

Revolutionary AOI Technology, Unbelievable Speed World's Fastest and Most Accurate 3D SPI

Revolutionary AOI Technology, Unbelievable Speed World's Fastest and Most Accurate 3D SPI Revolutionary AOI Technology, Unbelievable Speed World's Fastest and Most Accurate 3D SPI The Recognized Leader for Quality Inspection Solutions 1 WORLD'S FASTEST AND MOST ACCURATE 3D SPI 3 YEAR WARRANTY*

More information

Overview of All Pixel Circuits for Active Matrix Organic Light Emitting Diode (AMOLED)

Overview of All Pixel Circuits for Active Matrix Organic Light Emitting Diode (AMOLED) Chapter 2 Overview of All Pixel Circuits for Active Matrix Organic Light Emitting Diode (AMOLED) ---------------------------------------------------------------------------------------------------------------

More information

Lecture 18 Design For Test (DFT)

Lecture 18 Design For Test (DFT) Lecture 18 Design For Test (DFT) Xuan Silvia Zhang Washington University in St. Louis http://classes.engineering.wustl.edu/ese461/ ASIC Test Two Stages Wafer test, one die at a time, using probe card production

More information

Characterization and improvement of unpatterned wafer defect review on SEMs

Characterization and improvement of unpatterned wafer defect review on SEMs Characterization and improvement of unpatterned wafer defect review on SEMs Alan S. Parkes *, Zane Marek ** JEOL USA, Inc. 11 Dearborn Road, Peabody, MA 01960 ABSTRACT Defect Scatter Analysis (DSA) provides

More information

Approaching Zero Etch Bias at Cr Etch Process

Approaching Zero Etch Bias at Cr Etch Process Approaching Zero Etch Bias at Cr Etch Process Pavel Nesladek a ; Norbert Falk b ; Andreas Wiswesser a ; Renee Koch b ; Björn Sass a a Advanced Mask Technology Center, Rähnitzer Allee 9; 01109 Dresden,

More information

Scan. This is a sample of the first 15 pages of the Scan chapter.

Scan. This is a sample of the first 15 pages of the Scan chapter. Scan This is a sample of the first 15 pages of the Scan chapter. Note: The book is NOT Pinted in color. Objectives: This section provides: An overview of Scan An introduction to Test Sequences and Test

More information

DLP Discovery Reliability Application Note

DLP Discovery Reliability Application Note Data Sheet TI DN 2510330 Rev A March 2009 DLP Discovery Reliability Application Note May not be reproduced without permission from Texas Instruments Incorporated IMPORTANT NOTICE BEFORE USING TECHNICAL

More information

A High-Speed CMOS Image Sensor with Column-Parallel Single Capacitor CDSs and Single-slope ADCs

A High-Speed CMOS Image Sensor with Column-Parallel Single Capacitor CDSs and Single-slope ADCs A High-Speed CMOS Image Sensor with Column-Parallel Single Capacitor CDSs and Single-slope ADCs LI Quanliang, SHI Cong, and WU Nanjian (The State Key Laboratory for Superlattices and Microstructures, Institute

More information

Freescale SPC5604BF1CLL6 Embedded NOR Flash with M27V Die Markings 32 Bit Power Architecture Automotive Microcontroller 90 nm Logic Process

Freescale SPC5604BF1CLL6 Embedded NOR Flash with M27V Die Markings 32 Bit Power Architecture Automotive Microcontroller 90 nm Logic Process Freescale SPC5604BF1CLL6 Embedded NOR Flash with M27V Die Markings 32 Bit Power Architecture Automotive Microcontroller 90 nm Logic Process Process Review 3685 Richmond Road, Suite 500, Ottawa, ON K2H

More information

In-Cell Projected Capacitive Touch Panel Technology

In-Cell Projected Capacitive Touch Panel Technology 1384 INVITED PAPER Special Section on Electronic Displays In-Cell Projected Capacitive Touch Panel Technology Yasuhiro SUGITA a), Member, Kazutoshi KIDA, and Shinji YAMAGISHI, Nonmembers SUMMARY We describe

More information

Removing the Last Road Block of Deploying ILT into 10nm Node by Model-based Mask Data Preparation and Overlapped Shots

Removing the Last Road Block of Deploying ILT into 10nm Node by Model-based Mask Data Preparation and Overlapped Shots Removing the Last Road Block of Deploying ILT into 10nm Node by Model-based Mask Data Preparation and Overlapped Shots Linyong (Leo) Pang Bo Su, Yohan Choi D2S, Inc. 1 193i Needed to be Extended and Extended

More information

A NOVEL METHOD FOR TESTING LCD BY INTEGRATING SHORTING BAR AND TAGUCHI DOE TECHNOLOGIES

A NOVEL METHOD FOR TESTING LCD BY INTEGRATING SHORTING BAR AND TAGUCHI DOE TECHNOLOGIES This article has been peer reviewed and accepted for publication in JMST but has not yet been copyediting, typesetting, pagination and proofreading process. Please note that the publication version of

More information

SPATIAL LIGHT MODULATORS

SPATIAL LIGHT MODULATORS SPATIAL LIGHT MODULATORS Reflective XY Series Phase and Amplitude 512x512 A spatial light modulator (SLM) is an electrically programmable device that modulates light according to a fixed spatial (pixel)

More information

Outline. Double Patterning 11/6/17. Motivation Techniques Future of Double Patterning. Rasha El-Jaroudi November 7 th

Outline. Double Patterning 11/6/17. Motivation Techniques Future of Double Patterning. Rasha El-Jaroudi November 7 th Double Patterning Rasha El-Jaroudi November 7 th 2017 reljaroudi@utexas.edu Outline Motivation Techniques Future of Double Patterning Rasha H. El-Jaroudi 2 1 Motivation Need to keep up with Moore s Law

More information

Reducing Waste in a Converting Operation Timothy W. Rye P /F

Reducing Waste in a Converting Operation Timothy W. Rye P /F Reducing Waste in a Converting Operation Timothy W. Rye P. 770.423.0934/F. 770.424.2554 RYECO Incorporated Trye@ryeco.com 810 Pickens Ind. Dr. Marietta, GA 30062 Introduction According to the principles

More information

Through Silicon Via Testing Known Good Die (KGD) or Probably Good Die (PGD) Doug Lefever Advantest

Through Silicon Via Testing Known Good Die (KGD) or Probably Good Die (PGD) Doug Lefever Advantest Through Silicon Via Testing Known Good Die (KGD) or Probably Good Die (PGD) Doug Lefever Advantest Single Die Fab Yield will drive Cost Equation. Yield of the device to be stacked 100% 90% 80% Yield of

More information

Design and Implementation of Uart with Bist for Low Power Dissipation Using Lp-Tpg

Design and Implementation of Uart with Bist for Low Power Dissipation Using Lp-Tpg IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 6, Issue 3, Ver. II (May. -Jun. 2016), PP 26-31 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Design and Implementation of

More information

Using enhancement data to deinterlace 1080i HDTV

Using enhancement data to deinterlace 1080i HDTV Using enhancement data to deinterlace 1080i HDTV The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published Publisher Andy

More information

Testing Digital Systems II

Testing Digital Systems II Testing Digital Systems II Lecture 2: Design for Testability (I) structor: M. Tahoori Copyright 2010, M. Tahoori TDS II: Lecture 2 1 History During early years, design and test were separate The final

More information

Impact of Intermittent Faults on Nanocomputing Devices

Impact of Intermittent Faults on Nanocomputing Devices Impact of Intermittent Faults on Nanocomputing Devices Cristian Constantinescu June 28th, 2007 Dependable Systems and Networks Outline Fault classes Permanent faults Transient faults Intermittent faults

More information

Superpose the contour of the

Superpose the contour of the (19) United States US 2011 0082650A1 (12) Patent Application Publication (10) Pub. No.: US 2011/0082650 A1 LEU (43) Pub. Date: Apr. 7, 2011 (54) METHOD FOR UTILIZING FABRICATION (57) ABSTRACT DEFECT OF

More information

Double Patterning OPC and Design for 22nm to 16nm Device Nodes

Double Patterning OPC and Design for 22nm to 16nm Device Nodes Double Patterning OPC and Design for 22nm to 16nm Device Nodes Kevin Lucas, Chris Cork, Alex Miloslavsky, Gerry Luk-Pat, Xiaohai Li, Levi Barnes, Weimin Gao Synopsys Inc. Vincent Wiaux IMEC 1 Outline Introduction

More information

Multi-Shaped E-Beam Technology for Mask Writing

Multi-Shaped E-Beam Technology for Mask Writing Multi-Shaped E-Beam Technology for Mask Writing Juergen Gramss a, Arnd Stoeckel a, Ulf Weidenmueller a, Hans-Joachim Doering a, Martin Bloecker b, Martin Sczyrba b, Michael Finken b, Timo Wandel b, Detlef

More information

Reduction of Device Damage During Dry Etching of Advanced MMIC Devices Using Optical Emission Spectroscopy

Reduction of Device Damage During Dry Etching of Advanced MMIC Devices Using Optical Emission Spectroscopy Reduction of Device Damage During Dry Etching of Advanced MMIC Devices Using Optical Emission Spectroscopy D. Johnson, R. Westerman, M. DeVre, Y. Lee, J. Sasserath Unaxis USA, Inc. 10050 16 th Street North

More information

Organic light emitting diode (OLED) displays

Organic light emitting diode (OLED) displays Ultra-Short Pulse Lasers Enable Precision Flexible OLED Cutting FLORENT THIBAULT, PRODUCT LINE MANAGER, HATIM HALOUI, APPLICATION MANAGER, JORIS VAN NUNEN, PRODUCT MARKETING MANAGER, INDUSTRIAL PICOSECOND

More information

Perfecting the Package Bare and Overmolded Stacked Dies. Understanding Ultrasonic Technology for Advanced Package Inspection. A Sonix White Paper

Perfecting the Package Bare and Overmolded Stacked Dies. Understanding Ultrasonic Technology for Advanced Package Inspection. A Sonix White Paper Perfecting the Package Bare and Overmolded Stacked Dies Understanding Ultrasonic Technology for Advanced Package Inspection A Sonix White Paper Perfecting the Package Bare and Overmolded Stacked Dies Understanding

More information

Fast MBAFF/PAFF Motion Estimation and Mode Decision Scheme for H.264

Fast MBAFF/PAFF Motion Estimation and Mode Decision Scheme for H.264 Fast MBAFF/PAFF Motion Estimation and Mode Decision Scheme for H.264 Ju-Heon Seo, Sang-Mi Kim, Jong-Ki Han, Nonmember Abstract-- In the H.264, MBAFF (Macroblock adaptive frame/field) and PAFF (Picture

More information

Signal to noise the key to increased marine seismic bandwidth

Signal to noise the key to increased marine seismic bandwidth Signal to noise the key to increased marine seismic bandwidth R. Gareth Williams 1* and Jon Pollatos 1 question the conventional wisdom on seismic acquisition suggesting that wider bandwidth can be achieved

More information

HB LEDs & OLEDs. Complete thin film process solutions

HB LEDs & OLEDs. Complete thin film process solutions HB LEDs & OLEDs Complete thin film process solutions Get off to a flying start for all your LED thin film deposition and etch processes From 2 inch to 8 inch Manual or fully automated substrate handling

More information

Line-Adaptive Color Transforms for Lossless Frame Memory Compression

Line-Adaptive Color Transforms for Lossless Frame Memory Compression Line-Adaptive Color Transforms for Lossless Frame Memory Compression Joungeun Bae 1 and Hoon Yoo 2 * 1 Department of Computer Science, SangMyung University, Jongno-gu, Seoul, South Korea. 2 Full Professor,

More information

How to Chose an Ideal High Definition Endoscopic Camera System

How to Chose an Ideal High Definition Endoscopic Camera System How to Chose an Ideal High Definition Endoscopic Camera System Telescope Laparoscopy (from Greek lapara, "flank or loin", and skopein, "to see, view or examine") is an operation performed within the abdomen

More information

Verification of HBM through Direct Probing on MicroBumps

Verification of HBM through Direct Probing on MicroBumps Verification of HBM through Direct Probing on MicroBumps FormFactor Sung Wook Moon SK hynix Outline HBM market HBM test flow Device structure overview Key test challenges addressed Signal delivery and

More information

PCB Error Detection Using Image Processing

PCB Error Detection Using Image Processing ISSN 2395-1621 PCB Error Detection Using Image Processing #1 Akshay Govind Lahane, #2 Anish Sanjay Dixit, #3 Pratik Surendrakumar Kadam, #4 Shripad Rajendra Angre 1 Akshayraje3137@gmail.com 1 2 anishdixit@gmail.com

More information

PRODUCT GUIDE CEL5500 LIGHT ENGINE. World Leader in DLP Light Exploration. A TyRex Technology Family Company

PRODUCT GUIDE CEL5500 LIGHT ENGINE. World Leader in DLP Light Exploration. A TyRex Technology Family Company A TyRex Technology Family Company CEL5500 LIGHT ENGINE PRODUCT GUIDE World Leader in DLP Light Exploration Digital Light Innovations (512) 617-4700 dlinnovations.com CEL5500 Light Engine The CEL5500 Compact

More information

Simultaneous Control of Subthreshold and Gate Leakage Current in Nanometer-Scale CMOS Circuits

Simultaneous Control of Subthreshold and Gate Leakage Current in Nanometer-Scale CMOS Circuits Simultaneous Control of Subthreshold and Gate Leakage Current in Nanometer-Scale CMOS Circuits Youngsoo Shin 1, Sewan Heo 1, Hyung-Ock Kim 1, Jung Yun Choi 2 1 Dept. of Electrical Engineering, KAIST, KOREA

More information

Transforming Electronic Interconnect Breaking through historical boundaries Tim Olson Founder & CTO

Transforming Electronic Interconnect Breaking through historical boundaries Tim Olson Founder & CTO Transforming Electronic Interconnect Breaking through historical boundaries Tim Olson Founder & CTO Remember when? There were three distinct industries Wafer Foundries SATS EMS Semiconductor Devices Nanometers

More information

Efficient Implementation of Neural Network Deinterlacing

Efficient Implementation of Neural Network Deinterlacing Efficient Implementation of Neural Network Deinterlacing Guiwon Seo, Hyunsoo Choi and Chulhee Lee Dept. Electrical and Electronic Engineering, Yonsei University 34 Shinchon-dong Seodeamun-gu, Seoul -749,

More information

1. Publishable summary

1. Publishable summary 1. Publishable summary 1.1. Project objectives. The target of the project is to develop a highly reliable high brightness conformable low cost scalable display for demanding applications such as their

More information

CCD Element Linear Image Sensor CCD Element Line Scan Image Sensor

CCD Element Linear Image Sensor CCD Element Line Scan Image Sensor 1024-Element Linear Image Sensor CCD 134 1024-Element Line Scan Image Sensor FEATURES 1024 x 1 photosite array 13µm x 13µm photosites on 13µm pitch Anti-blooming and integration control Enhanced spectral

More information

Broken Wires Diagnosis Method Numerical Simulation Based on Smart Cable Structure

Broken Wires Diagnosis Method Numerical Simulation Based on Smart Cable Structure PHOTONIC SENSORS / Vol. 4, No. 4, 2014: 366 372 Broken Wires Diagnosis Method Numerical Simulation Based on Smart Cable Structure Sheng LI 1*, Min ZHOU 2, and Yan YANG 3 1 National Engineering Laboratory

More information

Novel film patterned retarder utilizing in-plane electric field

Novel film patterned retarder utilizing in-plane electric field Novel film patterned retarder utilizing in-plane electric field Ji-Hoon Lee, 1 Il Hwa Jeong, 2 Ji Hoon Yu, 2 Ki Hoon Song, 2 Kwang-Un Jeong, 3 Shin- Woong Kang, 2 Myoung-Hoon Lee, 3,4 and Seung Hee Lee

More information

METROTOM. Visible Metrology.

METROTOM. Visible Metrology. Industrial Metrology from Carl Zeiss METROTOM. Visible Metrology. EN_60_020_148I Printed in Germany SCH-CZ-V/2009 Noo Printed on chlorine-free bleached paper. Subject to change in design and scope of delivery

More information

I n d u s t r i a l M e t r o l o g y f r o m C a r l Z e i s s. METROTOM. Visible Metrology.

I n d u s t r i a l M e t r o l o g y f r o m C a r l Z e i s s. METROTOM. Visible Metrology. I n d u s t r i a l M e t r o l o g y f r o m C a r l Z e i s s METROTOM. Visible Metrology. Maximum Demands on Quality The trend of reducing industrial manufacturing processes is continuing despite the

More information

ADVANCED MICRO DEVICES, 2 CADENCE DESIGN SYSTEMS

ADVANCED MICRO DEVICES, 2 CADENCE DESIGN SYSTEMS METHODOLOGY FOR ANALYZING AND QUANTIFYING DESIGN STYLE CHANGES AND COMPLEXITY USING TOPOLOGICAL PATTERNS JASON CAIN 1, YA-CHIEH LAI 2, FRANK GENNARI 2, JASON SWEIS 2 1 ADVANCED MICRO DEVICES, 2 CADENCE

More information

CCD 143A 2048-Element High Speed Linear Image Sensor

CCD 143A 2048-Element High Speed Linear Image Sensor A CCD 143A 2048-Element High Speed Linear Image Sensor FEATURES 2048 x 1 photosite array 13µm x 13µm photosites on 13µm pitch High speed = up to 20MHz data rates Enhanced spectral response Low dark signal

More information

Dynamic IR Scene Projector Based Upon the Digital Micromirror Device

Dynamic IR Scene Projector Based Upon the Digital Micromirror Device Dynamic IR Scene Projector Based Upon the Digital Micromirror Device D. Brett Beasley, Matt Bender, Jay Crosby, Tim Messer, and Daniel A. Saylor Optical Sciences Corporation www.opticalsciences.com P.O.

More information

Power Device Analysis in Design Flow for Smart Power Technologies

Power Device Analysis in Design Flow for Smart Power Technologies Power Device Analysis in Design Flow for Smart Power Technologies A.Bogani, P.Cacciagrano, G.Ferre`, L.Paciaroni, M.Verga ST Microelectronics, via Tolomeo 1 Cornaredo 20010, Milano, Italy M.Ershov,Y.Feinberg

More information

Mobile Phone Camera-Based Indoor Visible Light Communications With Rotation Compensation

Mobile Phone Camera-Based Indoor Visible Light Communications With Rotation Compensation Mobile Phone Camera-Based Indoor Visible Light Communications With Rotation Compensation Volume 8, Number 2, April 2016 Willy Anugrah Cahyadi Yong Hyeon Kim Yeon Ho Chung, Member, IEEE Chang-Jun Ahn, Senior

More information

ALIQUID CRYSTAL display (LCD) has been gradually

ALIQUID CRYSTAL display (LCD) has been gradually 178 JOURNAL OF DISPLAY TECHNOLOGY, VOL. 6, NO. 5, MAY 2010 Local Blinking HDR LCD Systems for Fast MPRT With High Brightness LCDs Lin-Yao Liao, Chih-Wei Chen, and Yi-Pai Huang Abstract A new impulse-type

More information

Is Optical Test Just an Illusion? By Lloyd Doyle. Background

Is Optical Test Just an Illusion? By Lloyd Doyle. Background Is Optical Test Just an Illusion? By Lloyd Doyle Background Automatic Optical Test first came to the printed circuits industry in the mid-1980s when its inventors were frustrated at buying their prototype

More information

Because Innovation Matters

Because Innovation Matters Because Innovation Matters Silicon Systems Group Toru Watanabe President, Applied Materials, Japan Semicon Japan November 30, 2010 Safe Harbor This presentation contains forward-looking statements, including

More information

A Briefing on IEEE Standard Test Access Port And Boundary-Scan Architecture ( AKA JTAG )

A Briefing on IEEE Standard Test Access Port And Boundary-Scan Architecture ( AKA JTAG ) A Briefing on IEEE 1149.1 1990 Standard Test Access Port And Boundary-Scan Architecture ( AKA JTAG ) Summary With the advent of large Ball Grid Array (BGA) and fine pitch SMD semiconductor devices the

More information

Large-Scale Polysilicon Surface Micro-Machined Spatial Light Modulator

Large-Scale Polysilicon Surface Micro-Machined Spatial Light Modulator Large-Scale Polysilicon Surface Micro-Machined Spatial Light Modulator Clara Dimas, Julie Perreault, Steven Cornelissen, Harold Dyson, Peter Krulevitch, Paul Bierden, Thomas Bifano, Boston Micromachines

More information

Innovative Fast Timing Design

Innovative Fast Timing Design Innovative Fast Timing Design Solution through Simultaneous Processing of Logic Synthesis and Placement A new design methodology is now available that offers the advantages of enhanced logical design efficiency

More information

Setting the quality standards. Glass Inspection. Defect detection from the technology leader

Setting the quality standards. Glass Inspection. Defect detection from the technology leader Setting the quality standards Glass Inspection Defect detection from the technology leader Inspection systems for flat glass processing Pfungstadt / Germany Made in Germany Service Telephone support Inspection

More information

High aspect ratio deep RIE for novel 3D radiation sensors in high energy physics applications

High aspect ratio deep RIE for novel 3D radiation sensors in high energy physics applications High aspect ratio deep RIE for novel 3D radiation sensors in high energy physics applications Angela Kok, Thor-Erik Hansen, Trond Hansen, Geir Uri Jensen, Nicolas Lietaer, Michal Mielnik, Preben Storås

More information

APPLICATIONS OF DIGITAL IMAGE ENHANCEMENT TECHNIQUES FOR IMPROVED

APPLICATIONS OF DIGITAL IMAGE ENHANCEMENT TECHNIQUES FOR IMPROVED APPLICATIONS OF DIGITAL IMAGE ENHANCEMENT TECHNIQUES FOR IMPROVED ULTRASONIC IMAGING OF DEFECTS IN COMPOSITE MATERIALS Brian G. Frock and Richard W. Martin University of Dayton Research Institute Dayton,

More information

On the Rules of Low-Power Design

On the Rules of Low-Power Design On the Rules of Low-Power Design (and How to Break Them) Prof. Todd Austin Advanced Computer Architecture Lab University of Michigan austin@umich.edu Once upon a time 1 Rules of Low-Power Design P = acv

More information

The Transition to Patterned Media in Hard Disk Drives

The Transition to Patterned Media in Hard Disk Drives The Transition to Patterned Media in Hard Disk Drives The Evolution of Jet and Flash Imprint Lithography for Patterned Media DISKCON San Jose Sept 24 rd, 2009 Paul Hofemann, Vice President, HDD Future

More information

Revitalize Indoor Content Delivery through Clearer and More Vivid Picture Presentation

Revitalize Indoor Content Delivery through Clearer and More Vivid Picture Presentation SAMSUNG Smart LED Signage IPS Series Revitalize Indoor Content Delivery through Clearer and More Vivid Picture Presentation Often subjected to variable conditions and light exposure, indoor environments

More information

IMPLEMENTATION OF X-FACTOR CIRCUITRY IN DECOMPRESSOR ARCHITECTURE

IMPLEMENTATION OF X-FACTOR CIRCUITRY IN DECOMPRESSOR ARCHITECTURE IMPLEMENTATION OF X-FACTOR CIRCUITRY IN DECOMPRESSOR ARCHITECTURE SATHISHKUMAR.K #1, SARAVANAN.S #2, VIJAYSAI. R #3 School of Computing, M.Tech VLSI design, SASTRA University Thanjavur, Tamil Nadu, 613401,

More information

IN-VISION All rights reserved. IN-VISION GmbH. B2B DLP Light Engine and Optical Solutions

IN-VISION All rights reserved. IN-VISION GmbH. B2B DLP Light Engine and Optical Solutions IN-VISION 2017. All rights reserved. IN-VISION GmbH B2B DLP Light Engine and Optical Solutions Company Long-term experience in development and manufacturing of high-end optical projection lens assemblies

More information

Reducing input dynamic range of SOA-preamplifier for 100G-EPON upstream

Reducing input dynamic range of SOA-preamplifier for 100G-EPON upstream Reducing input dynamic range of SOA-preamplifier for 100G-EPON upstream Hanhyub Lee and Hwan Seok Chung July 09-14, 2017 Berlin, Germany 100G-EPON OLT must use a preamplifier to overcome additional losses

More information

Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky,

Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky, Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky, tomott}@berkeley.edu Abstract With the reduction of feature sizes, more sources

More information

MAXIM INTEGRATED PRODUCTS

MAXIM INTEGRATED PRODUCTS RELIABILITY REPORT FOR PLASTIC ENCAPSULATED DEVICES May 4, 2009 MAXIM INTEGRATED PRODUCTS 120 SAN GABRIEL DR. SUNNYVALE, CA 94086 Approved by Ken Wendel Quality Assurance Director, Reliability Engineering

More information

Scaling up of the Iris AO segmented DM technology for atmospheric correction

Scaling up of the Iris AO segmented DM technology for atmospheric correction Scaling up of the Iris AO segmented DM technology for atmospheric correction Michael A. Helmbrecht, Ph.D., Min He, Carl Kempf, Ph.D., Patrick Rhodes Iris AO, Inc., 2680 Bancroft Way, Berkeley, CA 94704

More information

Advanced WLP Platform for High-Performance MEMS. Presented by Dean Spicer, Director of Engineering

Advanced WLP Platform for High-Performance MEMS. Presented by Dean Spicer, Director of Engineering Advanced WLP Platform for High-Performance MEMS Presented by Dean Spicer, Director of Engineering 1 May 11 th, 2016 1 Outline 1. Application Drivers for High Performance MEMS Sensors 2. Approaches to Achieving

More information

HOT LINKS Trade Show Schedule ISO Certification Contact

HOT LINKS Trade Show Schedule ISO Certification Contact July 2012 HOT LINKS Trade Show Schedule ISO Certification Contact Single-Field Scanning - Reduced Sensitivity To Contamination, Higher Quality PRODUCT SPOTLIGHT The type of scanning in harsh operating

More information

Bar Codes to the Rescue!

Bar Codes to the Rescue! Fighting Computer Illiteracy or How Can We Teach Machines to Read Spring 2013 ITS102.23 - C 1 Bar Codes to the Rescue! If it is hard to teach computers how to read ordinary alphabets, create a writing

More information

An Alternative Architecture for High Performance Display R. W. Corrigan, B. R. Lang, D.A. LeHoty, P.A. Alioshin Silicon Light Machines, Sunnyvale, CA

An Alternative Architecture for High Performance Display R. W. Corrigan, B. R. Lang, D.A. LeHoty, P.A. Alioshin Silicon Light Machines, Sunnyvale, CA R. W. Corrigan, B. R. Lang, D.A. LeHoty, P.A. Alioshin Silicon Light Machines, Sunnyvale, CA Abstract The Grating Light Valve (GLV ) technology is being used in an innovative system architecture to create

More information

LCD Motion Blur Reduced Using Subgradient Projection Algorithm

LCD Motion Blur Reduced Using Subgradient Projection Algorithm IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p-ISSN: 2278-8735 PP 05-11 www.iosrjournals.org LCD Motion Blur Reduced Using Subgradient Projection Algorithm Corresponding

More information

Model-Based Mask Data Preparation (MB-MDP) and its impact on resist heating

Model-Based Mask Data Preparation (MB-MDP) and its impact on resist heating Model-Based Mask Data Preparation (MB-MDP) and its impact on resist heating Aki Fujimura* a, Takashi Kamikubo b, Ingo Bork a a D2S Inc., 4040 Moorpark Ave, Suite 250, San Jose, CA, 95117, USA; b NuFlare

More information