INNOVATIVE MASS STORAGE TECHNOLOGIES IMST WHITE BOOK WHITE BOOK. October Mass data storage and memories for the future everyday life

Size: px
Start display at page:

Download "INNOVATIVE MASS STORAGE TECHNOLOGIES IMST WHITE BOOK WHITE BOOK. October Mass data storage and memories for the future everyday life"

Transcription

1 WIND INNOVATIVE MASS STORAGE TECHNOLOGIES IMST WHITE BOOK WHITE BOOK October 2010 Mass data storage and memories for the future everyday life The Specific Support Action WIND ( funded by the European Union within the the 6 th research framework programme (FP6), supports the edition o fthe IMST White Book ( 1 /138

2 Acknowledgements The contributors to this White Book are all currently active researchers, engineers or academics working in the area of mass data storage technologies in industry, universities or research centres within the European Union. Particular thanks for the preparation of this 2010 edition are due to Prof Claude Chappert of the University de Paris-Sud, Dr Dirk Wouters of IMEC, Prof Dr Matthias Wuttig from RWTH-Aachen and Prof David Wright from the University of Exeter. Until 2006, the White Book was produced under the auspices of the scientific committee of the Innovative Mass Storage Technologies Conference (IMST). Created in 2000 by European scientists and industrialists, this International Conference provides a unique opportunity to cover the latest advancements of research and to observe the latest trends in the areas of solid state, optical, magnetic and emerging technologies for data storage - see Since 2007, the compilation of the IMST White Book has been supported by the European Union FP6 programme as being one of the actions implemented within the Specific Support Action WIND: Wide INtegrated technology Dissemination - see 2 /138

3 2010 IMST White Book Partners from the SSA WIND having contributed to the 2010 IMST White Book are: Prof David WRIGHT (Exeter University / Exeter, United Kingdom) WIND Coordinator Emerging technologies Prof Claude CHAPPERT (CNRS- Université de Paris Sud, IEF / Orsay, France) Magnetic memories Prof Dr Matthias WUTTIG (RWTH Aachen / Aachen, Germany) Optical Memories Dr Dirk WOUTTERS (IMEC / Heverlee, Belgium) Solid state memories Though the authors share the opinions and analysis expressed in this book, its content cannot be seen as being an official position from their respective institutions or companies. 3 /138

4 Contents Section 1 first underlines the key role of Mass Data Storage in the Information and Communication Society and the proposed actions to strengthen the European position. Section 2 offers an overview of the impact of Mass Data Storage on industry, economy and citizen life, and displays some of the most exciting opportunities opened in the near future. Section 3 provides a thorough review of the technical trends, of the economic activity (with an incentive on the European position), in the four areas covered by the White Book: Solid State Memories, Optical Memories, Magnetic Memories and Emerging Technologies for Memories. In each case, the state of the European research is reviewed, and the authors underline what they consider the best actions to take to maintain and develop a strong EU based research and industry. Section 4 is brief, but dedicated to a real challenge: how we will be able to transmit to the future the huge amount of data we create, using technologies that are so rapidly evolving. Finally Section 5 gathers the views presented in each technical chapter to ensure the development and exploitation of appropriate R&D in Mass Data Storage Technologies in Europe. A short appendix list some current and past EU FP5 and FP6 funded research projects in the area of data storage and memory technologies. 1 Summary Mass data storage technologies in IST: challenges and opportunities, the European position Information and Communication Technologies today: an area of opportunities, a major source of economic growth Mass Storage Technologies Data storage everywhere - A deep impact on citizen life and work The memory always a strategic part research on generic technologies Dreaming of the future: new applications The markets - the European position and industry The IMST conferences and the White Book Overview of the main technologies for mass data storage: technical challenges, market trends, European position Solid state memories Needs and relevance Memory Technology The role of Europe Optical memories /138

5 3.2.1 Optical discs today Three generations optical storage Improvements of CD, DVD and BD Next generations Major European Players for Optical Storage Equipment: Magnetic Memories The on-going evolution of Magnetic storage in a fast changing context Mainstream magnetic storage technologies Emerging magnetic recording technology: magnetic random access memory Emerging technologies for mass data storage Scanning probe-based storage Nanoscale thermal memories Biologically-inspired data storage Spintronics : mixing spin and semiconductors Graphene - a new material and new opportunities The role of Europe in Emerging Technologies Preservation of Cultural Heritage Recommendations to strengthen the European position Appendix: Main European Projects on Mass Data Storage Technologies Image taken from the IMST Conference graphic files 5 /138

6 1 Summary At the core of the challenges, and successes, of the development of the ICT technologies over 40 years has been the continuous and fast increase of the capacity of memories. Incredible progress in magnetic memories (hard disk ), optical formats (CD, DVD, Blu-Ray ), and semiconductor solid state memories (USB keys, SSD disks ) has been enabled by innovation from intensive R&D in Europe, US and Japan, and fostered innovation in many other areas of technology. The market opportunities opened by these innovations have clearly addressed many fundamental expectations of citizens, and the critical needs of the industry. As a result, the progress of mass storage technologies is intimately associated with the development or the creation of key areas of the modern economies, such as telecommunications, leisure (music, films, games ), banking, improving work productivity and work accessibility (easiness), transportation The data mass storage technologies are fundamental building blocks of ICT. They will increase the quality of life for the citizens in areas reaching from education to entertainment, from working environment to health services. They also save resources, and strongly contribute to further economic growth, securing employment. Currently around 70,000 people in Europe are directly and 300,000 indirectly involved production or research related to mass data storage. World-wide, mass data storage technologies are today a market in the Billion of Euro range. Europe has been and is a global driver in storage research and innovation through a strong supply industry. To preserve the existence of EU based world-class production facilities in mass data storage technologies, and to secure competitive position in the markets linked to emerging technologies for mass data storage, it is crucial that a favourable environment for innovation and investment is created. Key challenges are the proper understanding of the huge shifts now observed in mass data storage technologies. These are both technology driven and market (consumers/users) driven and include: Exponential increase of the amount of data produced and stored, by industry and by average citizens. And the choices between local and remote storage solutions are not changing. An increasingly complex technological landscape: no longer has one of the three major storage technologies (magnetic, optical and solid state) its own secured markets. For example the magnetic hard disk owned for decades the market of the mass memory in computers. This is now 6 /138

7 challenged by the upcoming solid state disk (using Flash memories), now offered in some laptop computers to users ready to accept increased price to reduce power consumption. Conversely, taking advantage of the availability of reduced form factors, the magnetic hard disk recently invaded mobile markets (PdAs, MP3 players) previously held by optical or solid state devices. The increased amount of stored information that each one of us would likely to access readily paves the way for more intelligent memories, intimately associating mass data storage and smart computing functions. In light of these challenges the 2010 edition of the White Book and the associated WIND SSA presents: A review of the three major mass data storage technologies (solid state memories, optical storage, magnetic hard disk and tapes) plus an overview of the emerging contenders being either the closet to the market, or offering the highest potential for competitive breakthroughs. Recommended actions as part of an integrated approach to maintain and strengthen European R&D and industry in the field of mass data storage technologies. It considers the issues important to ensure the creation and exploitation of R&D for the benefit of society: to increase investment and coordination of R&D for new technologies for mass data storage, including hardware, and complex systems using different technological know-how; to support the interdisciplinary education and training of research personnel, taking care to promote a stronger entrepreneurial mindset; to cluster joint projects including the new EU member countries and promote international collaboration; to support assessment activities to ensure that European R&D excellence is translated into wealth-generating products and processes; 7 /138

8 The markets and the EU positions 2 Mass data storage technologies in IST: challenges and opportunities, the European position 2.1 Information and Communication Technologies today: an area of opportunities, a major source of economic growth During the last 15 years, ICTs have provided a number of radically new devices / technotoys that have improved the daily life of the EU citizen: mobile phone, digital camera, MP3 players, PC, PDA, credit cards, video on discs, flat screen, HD TV, fast communications (ADSL), home and work security (wired or wireless), automatisms (doors, shutters..), improved methods for production and increases in productivity Most have been made possible by combining the progresses in silicon technologies, CAD, simulations, architecture design, and a mass storage component with new capabilities. Strikingly, the time to market (and citizen) of these new technologies proved far more rapid than for the previous ones (automobile, telephone, television ). Worth noting, when compared to automobile or television, the price of individual mass data storage devices or components is low enough so that the consumers can replace these frequently to benefit from technological advances. 8 /138

9 The markets and the EU positions The roots of the large impact of mass data storage technologies can be found in the combination of two highly favourable factors: together with the new ICT technologies, they fulfil real expectations and needs of the citizens, and they are also affordable and accessible to a large majority of the consumers. The economies of Europe, US and Japan hugely benefited from the rapid growth of the Information Technologies, that has been made possible by strong investments in R&D, both by companies and by states (or Europe) or state sponsored institutions. We begin the 21st century with a general expectation that the one-two punch of science and technology will, by itself, generate an unending.flow of discoveries, tools and gadgets to bring us closer to a utopian future. This premise has also shaped a general understanding of innovation as equivalent to discovery, invention and the flow of new technotoys. In reality, invention has always been as distinct from innovation as rivers are from oceans: one clearly feeds into the other. (Global Innovation Outlook IBM) Continuous progresses have allowed the leaving to others (mainly in Asia) of the low margin mass production, while continuing to fuel a robust economic growth at home. However, evidence now suggests that the gap in economic growth between Europe and the US may be linked to fewer investments in IT within the EU. The report shows that EU R&D intensity has stagnated since the mid-nineties. In 2005, only 1.84% of GDP was spent on R&D in EU-27 and it still remains at a lower level than in the US, Japan or South Korea. Also new emerging economies such as China are rapidly catching-up. If current trends last, China will have caught up with the EU by 2009 in terms of R&D intensity. However, high R&D-intensive EU Member States such as Austria, Germany, Finland and Denmark show that it is possible to maintain and increase R&D intensity above 2% and even 3% of GDP. Low business R&D a major threat to the European knowledgebased economy. European Commission Press Release on Key figures 2007 on Science, Technology and Innovation, Brussels, June 11, It is thus of primary importance to preserve and develop a high level of activity in Information and Communication related technologies in Europe. Nevertheless, this is a real challenge as, if ICTs are widely recognized as major contributors to the economic growth, these are also areas of fierce scientific and technological competition. The long term viability of an ICT 9 /138

10 The markets and the EU positions industry, and more widely, the proper diffusion of the benefits of the ICT progresses in the society, depends on the existence of an active research environment. An indigenous research capacity is essential to be able to master and assimilate technology and to exploit it to economic and societal advantage. This is particularly true for ICT, where innovation moves at an ever faster pace, where the frontiers of research are increasingly broad, and where people and organisations depend more and more on ICT. Brussels, September Strengthening Competitiveness Through Co-operation It is not surprising to see that ICT technologies account for a growing part of the GDP in the European Union, and of the research activities. Also, ICTs are at the core of many of the current dreams for a better life, workplace and economy. In each dream, one easily finds the need for more efficient, smaller and more intelligent memories. Recently, many reports (see the Vision 2020, Nanoelectronics at the Centre of Change the report Ambient Intelligence : from vision to reality from the IST-Advisory Group) underlined the areas where the progresses of ICT (and data storage) will have the deeper and more positive impact. From the IST-AG report : Reinforcing the community and social links through the development of a collective living or community memory Civil Security (risk and damage assessment) by intelligent surveillance and new decision support systems processing huge amounts of data Home in a networked society, where each individual will have the choice to create a private sanctuary or to connect to the society at a chosen time Healthcare (health monitoring, caring for safety of vulnerable peoples such as children ) 10 /138

11 The markets and the EU positions By enabling access to knowledge anywhere anytime ICT fosters new ways of interaction, cooperation, learning and innovation. Technology enhances the capabilities of human beings, individually and collectively, to create and share knowledge. Europe s ICT industry is also a major economic sector in its own right, covering information technology, telecommunications and audio-visual markets. The sector has grown from 4% of EU GDP in the early 90s to 8% today5. While the industry has been unable to compete in all market niches, a strong commitment to innovation has kept European firms among the world leaders in key areas. These include semiconductors, digital media and consumer electronics, wired and wireless communications, and business software. Brussels, September 2004 Strengthening Competitiveness Through Co-operation ICT industries account for a large share of aggregate business R&D spending (26% in ). As emphasised by the ICT Task Force, increasing ICT R&D expenditure is key if the EU is to reach the 3% i Annual Information Society Report 2007, released on March 30, 2007 When trying to extrapolate the current trends, a key driver for a wider and more profound impact of ICT will be the pervasiveness of computing and data storage in the everyday life. This next wave of technologies will make systems smaller, cheaper, and smarter and always best connected, and their applications even more wide ranging. It will open the door to new networked devices and systems that will enable people to interact with their surroundings and with each other in totally new ways. Brussels, September 2004 Strengthening Competitiveness Through Co-operation 1 Commission Services estimate based on OECD/Eurostat survey of R&D expenditure /138

12 The markets and the EU positions 2.2 Mass Storage Technologies Data storage everywhere - A deep impact on citizen life and work The need of more and more memory is shared by all applications of ICTs such as enhancing life comfort and security, leisure, education, business and improving work productivity. Generally speaking, mass storage technologies and memories must fulfil at least the first of the following requirements, and increasingly all: Conveniently store huge quantities of information, often with stringent requirements on data density (memory size) and on security Process this information to allow practical and efficient retrieving of the stored data Transfer the information at the highest transfer rate One of the most striking revolutions of the two last decades is the present pervasiveness of mass storage technologies optical, solid state and magnetic memories are possessed and/or actively used by almost all citizens for leisure, business and work. Furthermore, this information is becoming more and more digital (vs analogic), as this is the most efficient format for recording, storing, and exchange. Today and tomorrow, more and more data flow from a complex network of sensors and wired or wireless components, for health, culture, agenda, work Beyond the enhancement of memory capabilities, handling such a flow of information while preserving security (no loss of data), privacy and accessibility is the key challenge. Also, retrieving efficiently targeted and useful data within such a large flow is more and more a challenge. Coping with increasing needs for data storage while securing availability, security and privacy, require the combination of all available innovations in the memory technologies, and radical evolutions in the treatment of the information (sensor level, processing level, collecting level, back up level ). This huge flow of data is used to improve work productivity, health monitoring, in new leisure applications (games ), education Finally, it comes clear that data storage is 12 /138

13 The markets and the EU positions associated to all ICT applications and that, very often, the enhancement of the performances of the memories is the driving force leading to new applications : CD to DVD (audio to video ) to HD-TV with new DVD generations, new applications for work and leisure on the PC (hard disk capacity)... The need to increase the capacity of the memories has often been discussed during these last 20 years. However, the fast progresses of the memory performances have never been able to outreach the needs of the consumers and the industry. Now, the dreams are so diverse and exciting that it seems this process will never end. Once again, this is allowed by the unique the combination of affordability (for users) and the fulfilment of so many deep expectations and needs (from the consumers and the industry) The memory always a strategic part research on generic technologies By itself, a memory is always a strategic part within an integrated component. Remarkably, the market supported continuously many kind of memories (optical, solid state and magnetic). For each one, the capacities increased exponentially (with performances comparable to the well established Moore s Law for microelectronics) over 20 years, either continuously or by large discrete steps (optical memories) (Figure). Perhaps surprisingly, each technology demonstrated unique performances, such as the highest data density and capacity (Hard Disk), media removability and low cost (CD, DVD), high speed (solid state memories) and thereby first secured its own market. The dream of a unified memory - combining required density, speed and non-volatility for a wide range of applications, proved inaccessible, at least up to now, and certainly in forthcoming years... As a result, a creative and active research has been performed in diverse areas of the modern technologies, with a wide and large impact. Indeed, it is worth noting, beyond their own areas of applications, the technologies developed for Mass Storage Memories played a key role in the evolution of the new technologies from the beginning of the 20 th century. This race towards increased performances implied the use and improvement of diverse and wide areas of the available technological know-how, to the point that many modern technologies in mechanics, semiconductors, magnetism, and optics have been applied and very often developed or pushed to new performances to produce new, high density, and fast, memories. For instance, the progresses obtained in 13 /138

14 The markets and the EU positions these generic technologies led to breakthroughs used in miniaturized actuators (drives), computers, telecommunications Fig. 2.1 : Evolution of the data densities for the main technologies for mass data storage. Note that the optical technologies progress by discrete steps at each generation (CD, DVD ) while magnetic media (Hard Disk) and solid state memories (Flash) experience continuous evolutions Dreaming of the future: new applications As already pointed out, it has been consistently impossible to imagine the realms of applications opened by the increasing capabilities of the Mass Data Storage devices. True revolutions such as home video, video games, the widespread use of USB keys to exchange information, PDA s, digital monitoring and recording on the workplace were unthinkable in the 1980s. Now, as seen on Fig. 2.1, people are looking with more confidence towards the future of Mass Data Storage, forecasting a continuous surge of the capacities (and densities, thereby implying that smaller and mobile devices will be more and more available) and the paradigm has reversed: let us dream of what could be done with the memories that will be available! Recently, it has been underlined that the old Memex dream, first proposed by Vannevar Bush [As We May Think, The Atlantic Monthly, 176(1), July 1945, ] could be soon feasible. As the needed technology may soon be available if Mass Data Storage progresses 14 /138

15 The markets and the EU positions continue, Microsoft took over this challenge under the project MyLifeBits ( "Our research project, called MyLifeBits, has provided some of the tools needed to compile a lifelong digital archive. We have found that digital memories allow one to vividly relive an event with sounds and images, enhancing personal reflection in much the same way that the Internet has aided scientific investigations. Every word one has ever read, whether in an , an electronic document or on a Web site, can be found again with just a few keystrokes. Computers can analyze digital memories to help with time management, pointing out when you are not spending enough time on your highest priorities. Your locations can be logged at regular intervals, producing animated maps that trace your peregrinations. Perhaps most important, digital memories can enable all people to tell their life stories to their descendants in a compelling, detailed fashion that until now has been reserved solely for the rich and famous." (Scientific American.com, March 2007 issue). Similar goals are shared at least partly - by other groups, such as the CONFIDENT project ( that is a French think tank). The underlying idea is to be able to propose a new device, able to store all the information encountered by someone during his whole life (video, photographies, books, documents ) and to efficiently retrieve the needed one upon request. Such devices would efficiently answer to the need of most people to save old photographs, letters that have such a strong emotional values they may also find more targeted applications such as health monitoring, with the continuous recording of body parameters, that would allow the physician to trace back to its origin certain medical problems. Basically, huge amounts of information should be stored, most of it being accessed only from time to time. The size of the requested memory would be in the multi-tbyte range, and new ways to handle the complexity of the stored information have to be designed, from innovation in software and hardware (new components associating many kind of memories ). It can thus be foreseen that a significant part of forthcoming innovation should be not only on increased performances of memory cells (higher densities ), but also on the design of systems mixing intricately the memory function with computing capabilities and software handling the best ways to store, organise and retrieve the data. 15 /138

16 The markets and the EU positions The markets - the European position and industry The economic activity associated with mass data storage has increased continuously during the past decades. Due to the fact that the memories are, in most cases, a part of the final product sold to the consumer (exceptions are optical media and USB keys), precise data on the revenues for the industry are quite difficult to assemble. In any case, mass data storage generates a large economical activity. When examining closely the shares of HDD, tapes, optical and flash memories, one may be surprised to see that the global revenue is quite equally shared in spite of the fact that we compare established and more recent technologies, wider and more emerging markets. This is understandable as, on many markets, the most recent products, with the highest performances, generate the highest margins. This is true for the Flash currently, and underlines the critical role of innovation in this competitive area. To understand the importance of the revenues associated with the data storage activities, one should also keep in mind the fast penetration of the successful technologies on the market, once adopted by the consumers. Here below, we provide the striking instance of the video players and recorders. Fig 2.3 : DVD and DVD recorders, number of shipped units 16 /138

17 The markets and the EU positions The DVD market exploded in 4 years only, and brought the old VHS tape to the edge of disappearance. Other mass data storage technologies enjoy a similar and healthy growth. For instance, the Hard Disk is now coming out of the PC world and invading a wide range of new applications, as drives are provided with new performances and form factors (small ones, nomadic, with reduced energy consumption ), including replacing DVD recorders with HDD recorders for video applications. For solid state memories, the leading product on the consumer market is now the Flash Memory, as the ongoing race towards higher capacities meets a strong consumer demand. On the industry side, it is expected that the available capacity will double each year at least until Companies positions are rapidly evolving as a result of industrial policies and of the evolution of the production facilities. The European position is quite good in Mass Storage Technologies, with a lot of large and small companies, with an active research and a number EU based production facilities. In the market of solid state memories, STMicroelectronics has been active since the beginning, starting from EPROM, and including EEPROM, Smart Cards and microprocessors with embedded Non Volatile Memories. Recently (2008-9) of course STM formed a new company called Numonyx jointly with Intel USA to concentrate on the development and production of non-volatile memories. In 2010 Numonyx was aquired by Micron who say, on their website that ( 'The acquisition of Numonyx adds to the breadth of Micron s innovative product portfolio and strengthens our ability to support our customers memory needs.' A factor in the acquisition may also be the expertise built up by Numonyx in the area of phase-change memories in recent years (see Philips Semiconductors also has a long tradition in the development of various forms of semiconductor memories. In Philips was reorganised and a new company NXP Semiconductors was established with a planned focus on developing meaningful innovations in RF, Analog, Power Management, Interface, Security and Digital Processing. Headquartered in Europe, NXP has approximately 28,000 employees working in more than 25 countries and posted sales of USD 3.8 billion in NXP is also researching possible phase-change based replacements for CMOS Flash memories, with a particular emphasis on their patented 'line cell' design for phase-change RAM. Infineon, another major European semiconductor company (ranked n 6 semiconductor manufacturer in 2002), has also an important tradition in the development of memory devices, but in a reorganisation spun-off the separate company 17 /138

18 The markets and the EU positions Qimonda which concentrated in particular on DRAM development and production. However, in 2009 Qimonda filed for insolvency. Several European Research Institutes and Universities can be regarded as world-leading in semiconductor memory R&D. Among the most well-known and important ones, one may cite the IMEC in Leuven and CEA-LETI in Grenoble. On the market of optical data storage, the EU position has traditionally been strong, but recent changes in the optical storage scene have made an impact. Philips continues to be a major name in optical storage of course, but in 2007 it announced that it would no longer pursue in its Eindhoven R&D centre optical storage research beyond their already well developed 4th generation optical storage (near field) system. There are however other various industrial activities in the Eindhoven area, like Anteryon for optical components and Singulus for mastering equipment. Singulus (Germany) remains as a major EU-based manufacturer of optical disc production lines. Smaller actors such as Infinite Data Storage Ltd (UK), Lasertrack Ltd (UK) demonstrate SME activity in the field. Europe has a number of replicator companies like MPO (France), Sonopress (Germany), Sentinel (Belgium) and others. Bayer, Ciba Geigy and Clariant produce polymers and dyes for the optical storage industry. Toptica (Germany), Dr. Schenk (Germany), Datarius and AudioDev (both Austria) make professional testers for optical discs. Europe has a number of universities and research institutes that have significant contributions to the optical storage field. These include LETI (Grenoble), Universities like Exeter, Hanover, Plymouth, Aachen, Delft, Dublin, Nijmegen, Cambridge, Imperial College London, Lancaster, Limerick, Brussels, Amsterdam, Ghent, Eindhoven, Manchester and others are active in research in this field, including through EU FP6 and FP7 funded projects. In the market of magnetic data storage, for Hard Disk Drives, Seagate Technologies (Ireland) remains the only real European player (locally producing heads and substrates) after IBM sold its hard disk division to Hitachi and closed its Germany and Hungary plants. However, other companies such as STMicroelectronics directly benefit from the progresses of the HDD by providing key electronic components to be integrated in the final device. Smaller companies, such as Obducat (Sweden), are active on the exploration of new media technologies that could take an important part in patterned media development. Dataslide Ltd (UK) is an SME that proposed a new kind of fast access, low power magnetic memory. In addition, Naomi technologies (D), a spin off of former IBM 18 /138

19 The markets and the EU positions Mainz, proposes electronics and magnetic technology developments for recording, sensors, MEMS, etc On the market of magnetic tapes, the situation is also evolving, European major Tandberg transformed into Tandberg Data (integrated solution company), Tandberg Storage (hardware) and O-Mass, subsidiary of Tanberg Storage and Imation (30% share), a US company. O-Mass develops innovative solutions such as 64 channels recording with magnetooptical readout. Naomi technologies (D), a spin off of former IBM Mainz, can also play a role in electronics, signal processing and heads development. Many companies such as Storagetek France (F), Imation Germany (D), Hi-Stor (F) propose integrated backup technologies and are strongly interested in what technological breakthroughs could bring to tape storage. The Xyratex company in Havant (UK) is also a significant player - over half of the world's disk drives produced today are tested on Xyratex equipment. Xyratex are also a world-player in the development of storage arrays and networked storage based on hard disk solutions. The quality and quantity of research in magnetic memroies carried out in EU universities and research institutions is undoubtedly at the top international level, mainly in France (Orsay, Grenoble), England (Manchester, Belfast, York), Netherlands (Twente), Germany and Belgium. For this reason, on emerging technologies such as the MRAM (Magnetic Random Access Memories), a favourable starting point has been reached, as the most important discoveries that led to MRAM came from European research. Now, the MRAM technology optimised by the IBM-Infineon research team is being developed in France by Altis Semiconductor, a jointly owned subsidiary, where a MRAM R&D Center is being set up at the 130nm/200mm Altis production plant. In addition, the Motorola MRAM technology is now also developed in France by the Crolles II Alliance (ST Microelectronics, Motorola, Philips), for production in the new 90nm/300mm Crolles plant near Grenoble and several start-ups are created throughout Europe, to provide innovative developments in parallel with the R&D efforts in major companies. The start up CROCUS has also been launched in Greboble (France) and targets innovative MRAM developments, especially through the implementation of thermally assisted recording. Finally, it is worth underlying that the mass data storage field is highly interdisciplinary, and provides in excellent training opportunities for young scientists and engineers, equipping them for work in a large range of economically and technologically important areas. 19 /138

20 The IMST Conference the White Book on Mass Data Storage The IMST conferences and the White Book As can be deduced from the previous pages, mass data storage technologies are a highly competitive, creative and active field for the modern research in industry. Traditionally, it has been divided in between optical and magnetic data storage, plus next solid state memories. However, more and more technologies, such as the MRAM memories are now developed at the crossroads of the pre-existing research areas. In addition, the diversification of the applications and of the products (smaller hard disks or optical memories, higher capacities for solid state devices ) create wide range of applications where all these technologies are competing on the same markets. However, historically there has been no real European mass storage community. Indeed, the three most widespread mass storage technologies (optical memories, solid state memories, magnetic memories) belong to different academic communities, and are often developed by different companies. The creation of the Innovative Mass Storage Technology workshop built up on these considerations : competing on the same markets, sharing some of the new technologies, the research and industrial communities of mass data storage need a common forum. Indeed, the industry can no longer develop its activity at the moving frontier of knowledge in any of the fields of the mass data storage without having a close look to competing technologies. In addition, magnetic and optical memories have now such high density performances that they are going closer to fundamental limits (diffraction limit, super-paramagnetic limit) that will require technological ruptures to allow for further progress in data density. As this has been recognized about 10 years ago, research labs are now actively pursuing the development of radically new paths to support future developments, such as probe recording. The IMST conference series has been designed as the perfect forum to provide a wide overview of the state of the art in all these areas of mass storage: optical, solid state, magnetic and emerging technologies. A key for the success has been the incentive put by the first scientific committee on the mixing of both academic and industrial communities. After a quick maturation through the two first events (Grenoble 2001, Exeter 2002), the IMST workshop reached a full success in Grenoble in 2003: about 150 participants came from eleven different European countries, plus invited and contributed speakers from Japan and USA, and a large number of major companies and start ups were represented (Philips, ST Microelectronics, Thomson, Thalès, MPO, IBM, Seagate, Sony, Grandis ) For all 20 /138

21 The IMST Conference the White Book on Mass Data Storage the participants of IMST 2003, this was a unique opportunity to compare and evaluate the progress made and the opportunities opened by the most advanced teams in the competing technologies that are otherwise presented in very distinct forum. IMST 2004 was organized in Aachen (Sept. 28,29 ) and capitalized on this success and on the new appetite from the community for this specific event. The number of participants reached 150 persons with the participation of leading industrial international companies (Samsung, Panasonic, Philips, Thomson, ST, IBM, etc.. ). IMST 2006 was held in Grenoble, France, from May 29th-31st 2006 to co-incide with Minatec (Micro-nanotechnolgy) week and the opening of the new Minatec building at CEA. For the first time IMST was also held in conjunction with the European Symposium on Phase Change and Ovonic Science ( EPCOS2006), the major European conference for data storage and memory technologies based around phase-change materials. IMST 2007 was hosted by the University of Twente in the Netherlands in June 2007, and again hosted presentations by industrial and academic leaders in research from the EU and worldwide. IMST2008 was at held at the world-renowned IMEC research centre in Leuven, IMST 2009 was in Aachen and concentrated on sharing knowledge between all currently funded EU Fp6 and FP7 projects in the memory and data storage area. IMST 2010 was held jointly with the Minatec Workshop on Innovative Memory Technologies in Grenoble in June 2010, and attracted over 140 delegates from around Europe and the world. Information on all past and present IMST conferences, including downloadable presentations from speakers and tutorial sessions, can be obtained from the IMST website at The elaboration of the IMST associated White book - a roadmap for EU mass storage technologies - is continuation of the work of the IMST committee, now supported by the EU FP6 programme via the WIND project ( It is targeted towards the European scientific and industrial community, and to the European Commission, and proposes a vision of the strategic areas that are worth of funding to maintain or develop a strong European scientific and technological research in key mass storage technologies. The White Book is prepared with the help of EU academic and industrial experts in: Solid state technologies Optical technologies 21 /138

22 The IMST Conference the White Book on Mass Data Storage Magnetic technologies Emerging technologies This report results from the common work of the listed individuals (see page 3), with the additional support of the EU Mass Data Storage community. 22 /138

23 Solid state memories 3 Overview of the main technologies for mass data storage: technical challenges, market trends, European position 3.1 Solid state memories Needs and relevance Our world at the beginning of the 21st century is fundamentally and irreversibly changing. We are just entering the IST age characterized by a third industrial revolution after those brought by steam and electricity. The engine that drives this revolution is Microelectronics and more particularly the CMOS devices based integrated circuits that constitute over 75% of the world s semiconductor consumption. Through its dramatic increase in performance CMOS Microelectronics is the enabling technology at the heart of major progress of all the IST applications. Electronic systems include two main functions: data processing and memory, and together they make up for more than 65% of overall semiconductors market. The growth of memory usage has been explosive, and the famous sentence by Bill Gates I do not see why somebody should need more than 640K of memory has been often quoted as an example of the incapacity to understand the future, even for those who were creating it. At the moment there seems to be no sign for a slowing down of memory requirements: on the contrary the diffusion of broadband communication and digital appliances, the transmission of images, and the constant demand for better quality of images and sound, is creating an ever increasing need for large memories in a variety of new media: cellular phones, PDA s, cameras, set-top boxes and so on. 23 /138

24 Solid state memories Furthermore, there is a drive towards improved system performance and also towards mobility, that translates in smaller form factors and lower energy consumption. These favor nano-electronic solid-state memories over their competitors (as hard disk) requiring mechanical moving parts and having long latency. We may not forget, however, that the memory market (as all markets) is basically driven by economics. The winner memory technology is that who can deliver the required functionality at the lowest cost per bit. Here, the dramatic scaling in nanoelectronics following Moore s law has helped to strongly increase the capacity of solid state memories while strongly decreasing their cost/bit. The combined performance and cost/bit optimization, has resulted in the enormous price elasticity of the solid-state memory market: as prices drop, more new applications adopt the technology. This allowed NAND to displace existing forms of media (as solid-state disks), fostering accelerated growth. Non-volatile memory has evolved for a niche technology (EEPROM) towards mainstream technology, and FLASH has become recently the scaling driver (over DRAM). The strong growth of Flash NVM memory occurred because of 2 strong application drivers : In 2000 cellular phones boosted NOR Flash to become a 10B$ market In 2005 multimedia applications caused a second boom, now in NAND Flash (20B$ market) Further growth of the Flash market is expected by expanding in the memory hierarchy : Fig The Memory hierarchy. 24 /138

25 Solid state memories The memory hierarchy: from large bulk storage (tape) to top performance (SRAM). Nonvoltaile memory (Flash) is typically located between DRAM and HDD, with SDD covering a new Compute Memory market. Performance increase (as possible delivered by alternative nonvolatile memories as PCRAM) may push NVM also upwards competing with part of the DRAM market ( Storage Class Memory ). Downwards : For Compute memory there is a big gap between processer performance and magnetic storage performance, that can be covered by Flash memories: SSD replacing HDD Fig CPU vs HDD performance, SSD can meet/exceed the CPU performance need (From A.Fazio, IEDM 2009) Upwards: due to the large latency gap between DRAM and Flash, improving the performance of Non-volatile memories may take over part of the DRAM market. This is the so-called storage class memory market that PCRAM tends to penetrate. However, the cost gap remains small and maybe more difficult to bridge. Table Comparison of performance (latency) and cost. The latency gap between NAND and HDD on the one hand, and between NAND and DRAM on the other hand, gives opportunities for expanding NVM applications but the cost gaps create engineering challenges (From A.Fazio, IEDM 2009) 25 /138

26 Solid state memories Memory Technology Memory cell & Flash array configurations At the moment the main reprogrammable Non Volatile Memories are based on the Floating gate transistor. (Alternative concepts based on charge trap (CT) layers as SiN, that are discussed further with respect to scaling pathways, are not in production except for the Spansion CT Flash with hot carriers (NROM) which serves only a small percentage of the NOR market) Fig Floating gate memory transistor (schematic and operation). By putting ( program ) or removing ( erase ) charge on the floating gate (using hot carrier injection or tunneling), the threshold voltage shifts, resulting in a different current at the probe gate voltage. The industry standards make use of two architectures (Fig.3.1), using also different programming concepts: Figure : Scheme of NOR memory cell and array configuration. NOR Flash memories, characterized by fast random access, fast programming (corresponding with the Channel Hot Carrier programming), but larger cell size (due to the requirement of an additional contact in each cell): While scaling well, cell size has been at ~10F 2 for subsequent generations. NOR is mainly used for code storage. Currently at 50nm technology node. 26 /138

27 Solid state memories Figure : Scheme of NAND cell and memory array configuration. NAND array is a true crosspoint configuration (WL gates over BL diffusion lines, defining a transistor at each intersection) makes for the smallest possible cell (2Fx2F=4F 2, with F the min feature size of the technology (F = ½ pitch of poly lines) NAND Flash memories, characterized by longer access times (corresponding to the Fowler Nordheim tunneling programming mechanism), and higher programming voltages, but with a smaller cell size of only~4f 2 (NAND Flash design is the only true Cross bar array (with poly Si Gate crossing diffusion lines). The technology proved to be perfectly scalable, as shown by the constant cell area ~4F 2 over many generations. Main use is for data storage. Currently at F=3X technology node (and F=2X announced), 8GB (64Gb) using Multi Level programming. Flash scaling projections Recent and future expected scaling of Flash memories is given in the ITRS 2009 roadmap, see Figure and Table The technological scaling in nanoelectronics called Moore s law will further insure a constant doubling of memory capacity every 2 years (in , NAND Flash even had a faster scaling pace of doubling every year, called Hwang s law ), at least for the next 10 years, giving a constant memory density increase. In addition, a different concept of scaling was introduced by enabling programming of more that 1 bit in a cell, so called Multi-level programming. By putting 2 bits in a cell, area/bit could be doubled without any faeature size shrinking! The manufacturers are currently moving towards 3 and even 4 bits/cell. 27 /138

28 Solid state memories Figure 3.1.6: 2009 ITRS Product Technology Trends: Memory Product Functions/Chip and Industry Average Moore s Law and Chip Size Trends (from ITRS) Year of production NAND Flash Technology F [nm] NAND Flash Cell Size [F 2 ] NAND: Max. #bits/cell NOR Flash Technology F [nm] NOR Flash Cell Size [F 2 ] Table 3.1.2: Predicted scaling trend of NAND and NOR Flash (from ITRS PIDS 2009) : technology node F (poly line half pitch in nm) and cell size in number of F 2. NAND Flash is expected to scale further at 4F 2 cell size, while NOR cell size may slightly increase from 9-11 to F 2 below 22nm node (From ITRS PIDS 2009) 28 /138

29 Solid state memories Flash scaling issues The Floating Gate cell runs out of steam because of : 1. Reduced Floating Gate (FG) coupling of scaled device : In scaled device, there is no more place to wrap the Inter Poly dielectric (IPD) + the Control Gate (CG) around the FG (partly due to the non-scaling of the IPD thickness). This reduces the coupling ratio and may affect the programmability of the cell. High (> 0.6) gate coupling ratio (GCR) must be maintained to control the channel and prevent gate electron injection during erasing. For NAND flash, these requirements can be slightly relaxed because of page operation and error code correction (ECC), but IPD < 10 nm seems unachievable. This geometric limitation will severely challenge scaling below 20 nm half-pitch. 2. Electrostatic interaction between neighbouring cells Because of small distance between the FG s of neighbouring cells, and the absence of the FG in between, charge in one cell will start to influence the charge distribution in the other cell resulting in eventual read errors. 3. Limit of tunnel oxide scaling Percolation theory predicts ultimate tunnel oxide of 7nm (Degraeve et al., IEEE TED 09/2004). This limits further voltage scaling, resulting in increase of electrical fields that finally may jeopardize good device behaviour 4. Dense patterning requirements ahead of advanced litho Since NAND half-pitch has pulled ahead of DRAM and logic, lithography and etching and other processing advances are also first tested by NAND technology, it effectually did became the scaling driver technology. However, especially litho developments progressed not as fast as the requirements for Flash 5. Scaling of Multi-level programmed cells Increasing number of bits / cell reduces margin on read current and hence increases read errors Especially endurance reliability and write speed are still difficult challenges for MLC (multi-level cell) high-density applications 6. Eventually limitation of low number of electrons The success of Flash over DRAM scaling is due to the fact that DRAM information is based on total charge (Output Voltage = C bitline.q total ) while Flash operation is based on charge density ( Vt ~ Q/(W.L)). However, eventually this is limited by the discrete nature of charge on the level of the electron. If the total number of electrons in the FG becomes smaller than a few 10 s of electron, important Vt fluctuations may occur (K.Prall, NVSMW 2007). 29 /138

30 Solid state memories Potential Solutions? 1. Reduced FG coupling of scaled device : Solution: using high- k layer for IPD can increase the coupling of the CG to the FG However, up to now no adequate high-k layer found : High trap levels in High-K materials compromise retention. Same electric field in IPD as tunnel oxide results in early program saturation As a result, planarization is NOT really happening, resulting in wider spacing (>F) between FG s. 2. Electrostatic interaction between neighbouring cells Solution (i) : Limit the capacitive coupling by : Decrease thickness of the FG (eventually just a thin metal layer...): ultra-thin hybrid Floating Gate has been proposed (P. Blomme et al., 2010 Symp. on VLSI Tech). Use a thin IPD/CG that can still wrap around the FG: ultimate FG concept Solution (ii) : Use of Charge Trap devices: Location of the charge in (deep) traps is not changed by lateral field. Major candidate trapping material is SiN. To improve coupling, and to avoid the problem of the old SONOS concept, people tried to use an AlOx HK IPD layer with metal control gate in the so called TANOS structure. However, while this has been researched extensively the past years, major difficulties remain and people are reconsidering FG as the only scalable concept for (2D) Flash Solution (iii): Smart programming Read out and program conditions should take neighboring cell effects into account. 3. Limit of tunnel ox scaling Solution : use of engineered barriers. (K.K. Likharev, Appl. Physics Lett., 73, 2137 (1998), P. Blomme, B. Govoreanu, M. Rosmeulen, US patent nr. 6,784,484) However actual concepts show a strongly reduced cyclability. 4. Dense patterning requirements ahead of advanced litho Solution (i) : Double patterning techniques could solve this issue because of the regular linestructure. However, also there some limitations are already met as e.g. Samsung paper at 30 /138

31 Solid state memories 2010 VLSI shows OneSided Dual Patterningechnique (WL s only) for 32nm 3bit/cell because Two Sided DPT shows major failures. Solution (ii) : 3D concepts add another scaling dimension, so that at even relaxed dimensions, more bits per mm 2 Si can be processed. So at it s entry point, 3D actually may results in a backscaling of dimensions. Layer by layer processed 3D stacks have been proposed (S-M.Jung, IEDM 2006, E-K. Lai, IEDM 2006) but are however never cost competitive (as most processing steps have to be repeated for each layer). Toshiba proposed a true 3D concept where the complete NAND string is put vertically allowing shared processing steps on all levels (BICS, H. Tanaka et al., Symp. on VLSI Technology, Tech. Dig., 14, 2007). This concept is based in Charge Trap device (SONOS), but in the mean time companies are working in 3D FG as well (see papers from Samsung and Hynix at coming IEDM 2010). While promising, this is still a very complex processing scheme, and probably will take some more years before it will reach market.. 5. Scaling of Multi-level programmed cells Solution: to account for the errors induced, ECC correction becomes increasingly important. More efficient ECC engines emplying also Digital Signal Processing as already adopted by HDD industry have to be introduced (K.Kim, IMW 2010). 6. Eventually limitation of low number of electrons Solution : Non-charge based memory concepts may be scalable to smaller dimensions. The different concepts studied are presented in the next paragraph, but except for PCRAM, these technologies are still far from real products. Short term outlook: As 3D Flash will not enter the market before a few years, more classical scaling steps must follow. Most probably by increasing a bit the cell size (4.5-5F2) and by changing the cell arhitecture (thinner FG and IPD). Furthermore, while each 1-1.5years a new node will come, these will rathe be half-nodes, e.g. 28nm in 2011, 24nm in 2012m 20nm in 2013m 18nm in 2014,,by that it is possible to keep working in the same concept. As long as there is not other technology that is cheaper at the same node, this will continue to give a cost reduction. Move to 450mm wafer size can help here (for NAND). When 3D eventually is introduced, it can be CT based but maybe also FG. 31 /138

32 Solid state memories New memories : revolutionary solutions Fig Evolutionary and revolutionary solutions may be required for solving the scaling challenges to 22nm node and beyond. As outlined above, the (FG) Flash cell cell runs out of steam. Apart from investigating evolutionary solutions (as CT or 3D SONOS Flash), also disrutpive solutions as complete new memory concepts should be investigated. However, Flash memory is a commodity market with very low margins. A new memory technology should, therefore, be : a. very cheap (bulk Si wafers, low number of processing steps, low CoO) b. very dense (<2F 2 /bit): requires multibit/cell and/or 3D structure c. low programming power (for high throughput: > 100MB/s) d. nonvolatile (battery) e. able to step in at actual densities (~64Gb): preferably not too many new materials The most important of the alternative emerging memory concepts (non-charge based) are discussed below: 32 /138

33 Solid state memories PCRAM : Fig Phase Change Memory cell schematic and programming curve Phase-Change RAM memories are based on a change of the material phase (from amorphous to crystalline and back), induced by Joule heating. The resistor-like memory element can be stacked vertical to reduce cell size. A limitation is the current level (required for Joule heating) which does scale with cell dimensions but which is typically higher than can be delivered by a minimum size transistor, resulting in a relative large cell. Proposed solutions include the use of bipolar type of selector devices, while also diode-type devices have been proposed. From all alternative memories, PCRAM is the most advanced, with even a 1Gb demonstrator chip in 45nm technology announced (G.Servalli, IEDM 2009). Furthermore, multi-level programming potential has been demonstrated (T.Nirschl et al, IEDM 2010). Figure Technology data from the 1Gb PCRAM prototype chip presented by Numonyx at IEDM Program current is 200uA in 45nm. Still, PCRAM is trying to find its right market place. Initially it was conceived as a possible NOR replacement but currently it is proposed as a possible storage class memory benefitting from a better performance (as well as bit-size granularity), but at a larger bit size and cost than NAND Flash. 33 /138

34 Solid state memories Resistive RAM (RRAM) Fig Schematic of filamentary switcing in RRAM and I-V characteritics (of unipolar switching device) Fig Schematical IV characteristics of unipolar and bipolar switching devices In principle resistive RAM memories span a very wide scope of memory technologies that rely on changing and reading the resistance of a memory element, including also MRAM and PCRAM. The term RRAM is however typically coined for systems where the resistance change is induced by pure electrical means. The 2 main categories are RRAM s based on metaloxides (as NiO, TiO, HfO e.o.), that are also called OxRRAM, and RRAM s based on cation motion (Cu, Ag) in solid electrolytes (as chalcogenides), also known as Programmable Metallization Cell (PMC) or Conductive Bridging RAM (CBRAM). 34 /138

35 Solid state memories For both types of RRAM technology, switching is thought to be filamentary. The limited volume of material involved in the switching is expected to result in lower program current operation compared to e.g. PCRAM (that relies on bulk phase convertion). Both types are still in early development, studying feasibility of scaling. Prototype memories are still limited. One of the most promising routes is based on bipolar switching in HfO, that has been observed on dimensions down to 30nm (Chen et al, IEDM 2009), see Fig Fig Bipolar I-V characteristics in TiN/HfO/Ti/TiN cell (30nm dimensions) (Chen et al, IEDM 2009) Magnetic RAM (MRAM) Magnetic RAM has been an interesting concept because of expected high endurance (switching does not require atom movement!) and very fast switching (nsec) capability. However, classical MTJ MRAM (i.e. writing the state using a magnetic field) suffers from a large cell size, large program currents (required to generate the magnetic writing field), and scaling issues (critical magnetic field increases with scaling). More recently, current programming was developed using Spin Torque Transfer (STT- MRAM). While the current densities remain high, this technique opens as scaling path as absolute current goes down. Still, important issues remain as a complex material stack and limited Ron/Roff ratio s (typically < 10x). This also affects the read speed which is expected to be similar to PCM and RRAM but so slower than Flash and certainly SRAM. Also, reported cell sizes remain high, and the high current densities may affect the reliability of the tunnel barrier material. 35 /138

36 Solid state memories Fig Schematic of the STT-MRAM cell and operation Beyond memory integration technology In addition to pure memory technology, the realization of NVM storage memory is requiring a strong research push in two related areas: Algorithms for data storage, including compression error compensation Packaging technology, in order to stack more memory chips together, to increase storage efficiency The role of Europe Europe has a long-standing tradition in the development of Non Volatile Memories, and even now European companies are very active in the field. The most important European players are: Numonyx (now owned by Micron, previously part of STMicroelectronics), has been active since the beginning in the development of Non Volatile Memories, starting from EPROM, and including EEPROM, Smart Cards and micro-processors with embedded NVM. It is now number 6 in the ranking of Flash producers, and has recently started, in cooperation with Hynix, the development of NAND Flash for mass storage. A 4Gbit multilevel NAND memory has been announced at ISSCC The Company has also, together with Intel, licensed the Phase Change Technology from Ovonyx. A 1Gbit Phase Change Memory demonstrator was presented at IEDM Symposium NXP has a tradition in the development of embedded EEPROM for Smart Card applications. The company has recently extended its interest to embedded Flash for microprocessors and ASIC (140 nm and 180 nm technologies), and has demonstrated products with 36 /138

37 Solid state memories up to 7Mbit embedded Flash. NXP is also active in Phase Change Memory development, in collaboration with TSMC Infineon, has also an important tradition in the development of embedded NVM for Smart Cards, and is currently the market leader in the field. It is also actively pursuing the development of embedded Flash for microprocessors, especially for automotive. Also European Research Institutes and Universities have reached a position of excellence on the subject. Among the most important ones: o IMEC has been developing innovative cell architectures from the early 90 s, participating to several research projects with leading industry partners. Besides Flashtype concepts, it has explored several new NVM technologies, including FeRAM, PCM and recently RRAM. It is currently offering an affiliation program on sub-22nm NVM technology (both Flash and RRAM technology), to which the major memory companies are associated. o LETI has a long tradition in the development of materials and concepts for Non Volatile Memory technology, mostly in cooperation with STMicroelectronics. At the moment it is active in the research on MRAM, PCM materials, nanocrystal Flash memories, and also RRAM. In the field of more advanced, disruptive technologies, research activities are taking place in all major European companies and research centers, also under the umbrella of funded research projects (e.g. Framework 6 EMMA, CAMELS, "VERSATILE"). Also a few start-ups are present (e.g. ADESTO, in general offering advanced solutions in cooperation with major players Opportunities for research To support European industry through the foreseen further growth of mass-storage applications, extensive research activities are required that could focus the know-how, which already now exists in different European Universities, Research Centers and Industries. Integration of different disciplines is important. Considering the timeframe of the planned evolution of solid-state mass storage, there is place for research activities at various levels: 37 /138

38 Solid state memories Along the evolutionary path: for the next few years solid-state mass storage will be based on the evolution of the floating-gate architecture. However, to meet the requirements of the multi-gigabit era, new materials and concepts are needed: Integration of new materials inside the floating gate cell, as interpoly material (high-k dielectric multilayers), for the tunnel dielectric (oxide-high-k multi-layers for barrier engineering) and for the storage node (conductive materials with different work function, nano-crystals, trapping layers). Process integration for Non Volatile memories, including the manufacturing of different gate oxides (to handle the very different programming and reading voltages), problems of stress in the substrate, the possible inclusion of vertical and 3D structures. Defects and parasitic conduction mechanisms need to be characterized, their physics understood, proper modelling tools developed, and reliability screening procedures established. This activity will require an improvement of order of magnitudes in the more sophisticated analytical methodologies, and development of new ones. New design approaches must be developed to efficiently mask single-bit failures, like the ECC in the Flash memory cards, and define proper storage algorithms and architectures. Advanced packaging techniques for the integration of more memory chips in the same package, to achieve the memory density required by mass-storage applications in a suitable small form factor. Research along the evolutionary path is a pre-requisite for any further research, since it will establish a benchmark, and probably, without it, there would be no European industry left to exploit more advanced approaches. Along the disruptive technology path: new approaches need to be investigated for the technology nodes beyond 22nm, taking into account that at least ten-fifteen years will be needed to go from the proof of concept of the storage mechanism, to the industrial exploitation. The most likely candidates will be storage mechanism based on resistivity changes. Multi layer storage technology is an important direction to reduce cost, and it is the most significant advantage of disruptive technology, but will require new reading mechanisms, and the development of new memory architectures, unexplored till now. Two key considerations that should drive research activity are: 38 /138

39 Solid state memories the new approaches should address integration densities beyond the 22nm node, and address defectivity and reliability issues on this scale; the development and acceptance of new concepts, especially when reliability is a critical issue, as for long term storage, takes a long time. Therefore the new approaches must have a good scaling potential, not to be limited to a narrow temporal window. It should be considered that the evolutionary approach will continue to be present (aided by powerful signal processing techniques) at least down to the 22nm technology generation for NAND memories, even if with reduced scaling potential and that all new technologies will have to measure against it and prove to have a significant competitive advantage. In general three levels of research project can be envisaged: Basic investigation of new storage mechanisms: it should be performed in high risk, small size explorative projects, with a strong participation of Universities, demonstrating the properties of the material on single cells; Investigation of integration properties of the new memory concept: it should demonstrate that the storage mechanism can be integrated into a full memory, considering also the possible array architecture, programming and basic reliability performances, material compatibility with CMOS substrates. It should include also industrial partners, not only memory producer, but also providers of materials and deposition tools. The properties should be demonstrated at least on multi-megabit arrays. The final proof of feasibility for the new technology that should demonstrate its capability for realizing multigigabit memories, which are competitive with the evolutionary path. It should cover all the integration chain, from the assessment of the physics of the failure mechanisms, to a statistical evaluation of the properties on the gigabit scale, to the design aspects. A key element for the success of the project will be the ability to mobilize the critical mass of research and industrial resources, which are needed to overcome the entrance barrier, formed by the established technology. The last step will be an essential part of any program on alternative approaches to massstorage, and be the key to the success or the failure of the program. It is also the one that, in 39 /138

40 Solid state memories addition to industrial resources, will mobilize the largest amount of Universities and Research Centres. In addition, it must not be forgotten that the memory is also one part, even if essential of the complete mass-storage system, therefore: System level integration research should be initiated to provide technology platforms which enable to effectively integrate massive storage functionality in a wide variety of application areas. Especially in this area standards will be established based on which solid state memory functionality should be integrated in future designs. 40 /138

41 3.2 Optical memories Optical discs today Optical disc storage is the most popular technology for storing and sharing audio, video and data. Almost every personal computer contains an optical disc drive, and optical disc drives are popular for recording and playback of music and video. The optical storage market with more than 700 million drives and 36 billion discs per year (see Fig ) is a large business of about 10 B$ of annual revenues. It has grown from a series of inventions done by Philips and Sony in the 70s. These two companies launched optical data storage products in the late 70s with the laser video-disc (Philips, 1978) and the compact disc (CD) (Sony and Philips, 1983). The compact disc was the first digital electronics product for the consumer. It became the media of choice for digital audio and later also for software, video and data for PCs. The video version of the compact disc was in the mid-90s succeeded by the Digital Versatile Disc (DVD). Today s rate of acceptance of DVD into the homes of the consumers is spectacular and unprecedented. DVD players have taken over the lead in the market place of the VHStape recording systems. Figure 3.2.1: Optical media market development. 41 /138

42 Optical discs have become so popular because they combine the following key advantages: An optical disc is a low-cost publishing medium for the content industry, Increments of the capacity of collections of stored content also comes at a low-cost, Media may be exchanged between systems, because of well maintained, long-living global standards Discs may also be exchanged between users, also as a tangible gift Content can be removed from the reader for security / privacy and for archiving Customers can record their own discs. Customers face an increasingly larger range of options for satisfying their storage needs. Also the volume of information to be stored is exploding. On top of that, digital content that users have becomes more and more personal and uniquely valuable (pre-recorded audio vs. digital pictures or movies taken at home). It is the aim of research on optical storage systems at various groups in Europe to continue to exploit the special advantages of optical discs at still higher performance and reliability. 42 /138

43 Several companies, including Philips and Thomson, have announced a new format based on blue laser optics: Blu-ray Disc or BD. The BD-format allows storage capacities of over 25 GB on 12 cm diameter discs, with the option for doubling this amount in a double layer disc. Bluray Disc products are already on the market in small numbers since 2005 and have been increasing their sales steadily. These new BD discs will distinguish themselves from DVDs by an increased capacity, that can be used for higher definition video, longer playing time, but also for enhanced interactivity. This format enables storage of high definition TV programs which have recently been introduced, the demand for these storage solutions will increase with the increasing distribution of high definition TV programs. This has helped to establish an attractive blue laser optical drive market segment. In the next 4 year it is expected that sales of BD discs will reach a market volume of more than $ 10 bn Three generations optical storage The demand for storage capacities continues to grow, because of progress in hard disk capacities, the on-going digitalization of content, for instance via growing traffic, and digital recording of still pictures and video. The most widely used optical storage system today is the Compact Disc with 0.65 Gbyte per disc. The fastest growing product is DVD with 4.5 to 9 Gbyte per disc, and the most advanced system is Blu-ray Disc. CD, DVD and BD or alternatively HD-DVD can be seen as three generations of optical storage (Fig 3.2.2). 43 /138

44 Each of these formats provides a complete family of read-only discs (ROM), rewritable discs (CD-RW, DVD+RW, BD-RE) and recordable (R) or write-once discs. Each of these modalities builds on results of world-wide research, with a special role for Europe. The ROMdiscs have triggered research and development on laser cutting (or mastering) technologies, replication technology, mainly injection moulding, and on copy-protection and digital rights managements. The rewritable discs have stimulated significant materials research on the doped-alloys of germanium, antimony and tellurium, the so-called phase-change materials. And the recordable discs contain a lot of know-how on dye materials and their processing. Figure : Three generations of optical discs. With decreasing wavelength and increasing numerical aperture (NA) of the lens, the spot size becomes smaller. This can be used for reduction of spacing between tracks and marks on these tracks. In addition, the signal processing has become more powerful and tolerances have decreased in various parts of the system. This is a second reason for allowing a higher density of marks Improvements of CD, DVD and BD The improvement of today s system is an active area of research and development at industrial laboratories. Part of these activities are directed to improving the intelligence of optical drives, for instance in dealing with optical discs that are damaged or out of specification. Also the speed at which recording can be done is under study. And there is continuous attention for cost reduction, for instance via integration of different optical or ICcomponents, or via doing more advanced signal processing to enable wider tolerances, or lower costs for media and drives. There are differences between today s stages in the product life-cycle for the three types of optical discs (CD, DVD, and BD). The incremental improvement work has more or less finished for CD. CD-drives and discs are now already for some time a mass-manufactured 44 /138

45 product. The DVD-system has also matured by now. Write-speed improvements for new DVD recorders have been completed in For Blu-ray Disc, there is still some room for improvements, in particular for the BD disk to become a low-priced mass-market product. This evolutionary work is clearly very relevant research and development work. But we have doubts whether EU-funded research would be appropriate here. The work on DVD has entered a mature stage, where non-public know-how of companies is essential, and projects may typically be completed on relatively short timescales. And this type of work is also more and more competition sensitive, using proprietary information at companies and leading to results that will in general not be shared in the public domain. There are also competing technologies, with similar claims on density and data rate as BD, like various magneto-optical super-resolution techniques. There have been several recent projects on this, like e.g. the MAMMOSIL project (with participation of Leti, Thomson, Toptica, MPO and the University of Exeter) as well as in-company projects at Philips. At this moment, we have to conclude that these approaches have lost ground in their competition against Blu-ray Disc phase change recording Next generations Following CD, DVD and BD/HD-DVD the next generation optical storage will offer 200 GB and possibly up to 1 Terabyte per medium in combination with high data transfer rates of up to 125 Mbytes/s. Many promising storage concepts exist to achieve this target. They are listed in table The most promising techniques, as identified by the ISOM2006 committee include near field recording, possibly also using the Super RENS effect, multilayer recording, 2-photon recording, plasmonics and holography. These technologies are still in an early research stage and offer in combination with complementing technologies such as advanced signal processing, new media formats; servo designs etc. a wide field for collaborative work between academic and industrial laboratories. Table compares the two third generation products BD (and now discontinued) HD-DVD with upcoming suggestions such as BD multilayer, Super RENS and holographic storage as well as other formats that still need more research activities. Solutions denoted by an asterisk 45 /138

46 have been suggested by the ISOM2006 committee as a future optical technology which could give up to 1000 GB/disc and up to 1000 Mbps. Table (on next page) - current and next generation optical storage * One of the subgroups considered by the ISOM2006 committee as a future optical technology looking at technologies which could give GB/disc and Mbps ( MBytes/s) ** Or for read/write speed maybe replace with time to write a whole disc (effectively gives ratio of capacity to max write speed) Code: OO very strong, O- moderately strong, X moderately weak, XX- very weak 46 /138

47 Ultimate capacity Read/write ROM, R, Backward Disc manufact. Drive Consumer Momentum Comment on 12cm disc (GB) speeds (rel BD RW compat to cost (cf BD manuf use? behind Technology 1x) possible? BD 25GB /DVD? 25GB) act. cost concept (R&D (cf BD groups) 25GB) HD-DVD 45 (3 layer) ROM, R, Low-Similar RW (depends on No layers) BD single layer 25 (single) 50 (double) (BD) multi layer * 200 (8 layer) (1 layer=25gb) Super RENS * 150 (dual layer, 405nm,0.85NA) (2x BD) Near Field * 500 (4 layer, 405nm) 1x-2x ROM, R, yes N/A N/A yes RW 2x 6x ROM, R, yes Higher (lower similar yes OO (1x=36Mbps, RW yields) 4.5MBytes/s 3x 9x ROM, R Yes Similar - higher Simila Yes OO (RW r- (Samsung, (1.5x BD) difficult) higher NEC, Ricoh, CEA, DSI, AIST, etc) ROM, R, Probably small yes OO RW (Philips, Sony, LG, Seiko, CSID, Ricoh,) Holography * x R possibly Very high High No (or not O O (ROM, RW difficult) for years)?? 2-photon bit possible writing * Two-DOS 35 / layer 20x-60x ROM Yes? similar yes X (is multilayer possible?) (should be 10x BD) MODS 1600 (8 layer, 8x BD per 16x 48x ROM possibly layer) (8x BD) R, RW with 3 beams SVOD x ROM, R, 940 in a 65mm (2.5x BD) RW, RAM thick cartridge) InPhase, Optware, Fuji Xerox, Sony, Sanyo, LG, Sharp, NHK,Panaso nic, Samsung, Victor + several Uni s. X (Landauer, Riken,..) (would (Philips) need 10 beams to write!) probably small Yes O (Imperial) No low Low (syste m: mediu m) No XX Only Hitachi electrically 500 unknown ROM, R, probably High (multilayer mediu yes XX activated (20 layers at 25GB possibly + contact pad m Only Hitachi IMST photochromic White Book each) 2010 RW issues) 47 /138 multilayer Easier (and more compatible) to inc No layers on BD? First gen not much better than multilayer BD, but costs $$. Main issue is slow read speed (fluorescent decay time) Would need e-beam masteringexpensive Much more work before proof of principle. 4 beam light source demoed Based on 0.2mm thick DVD format discs in cart Makes more layers possible due to selectivity

48 Advanced signal processing Coding of information and signal processing has been at the basis of digital optical data storage. Nowadays signal processing may be used to widen the margins of the system, to increase the speed or the capacity. An interesting approach is two-dimensional coding, that was explored in an IST project. By arranging pits on a 2-dimensional lattice instead of a linear track, and by reading the information with multiple spot, the project team was able to read back information at twice the BD density (50 GB), and with 15 times the BD basic speed: 560 Mbps, a world record! Figure 3.2.3: Logo of the TwoDOS project, with the consortium partners. The centre of the logo is a schematic view of a TwoDOS bit pattern. Other work on signal processing concentrates at increasing the capacity of BD discs by adaptive decoding techniques. With various implementations of this technique it is possible to reconstruct data from marks that are so small that they can hardly be read by the optical system. This has allowed us to push the initial capacity on a BD disc of 23.3 or 25 GB to values well above 35 GB. Near-field optical recording By applying near-field recording technology the spot size of the optical stylus for reading from and recording data to the optical medium can be reduced. Two different approaches exist: 48 /138

49 ) Optical near-field is applying a solid immersion lens. In order to avoid a significant loss of the evanescent energy and deterioration of the spot quality, the gap between the lens and the data carrier needs to be sufficiently small (typically ~25nm). 2.) The so-called SuperRENS (Super Resolution Near-field structure) technology makes use of a non-linear masking layer on the disc, works with conventional far-field readout optics and therefore is less sensitive against media contamination. In the next two sections some recent research and development results are described. Optical near-field: The BD system uses a laser with 405 nm wavelength, and a lens with a Numerical Aperture (NA) of This leads to a resolution of about λ / NA. The resolution could be enhanced by going to shorter wavelength, or by increasing the Numerical Aperture. The technical challenges to this seemed so large in the past, that the BD-parameter combination of a just visible wavelength and the largest possible far-field NA, was referred to as marking the brick wall of optical recording. However, there is now experimental evidence, both from Philips and from Sony for crossing this brick-wall barrier in the laboratory. There is optimism that we may be able to use this technology in future commercial products Figure The brick wall of optical recording. For a wavelength of about 400 nm and a Numerical Aperture (NA) of 1, we leave a regime that has been exploited by CD, DVD and BD, and enter a new regime with new physical challenges in physics. This may be combined with advanced signal processing and coding techniques. 49 /138

50 Reduction of the wavelength into the (deep) ultraviolet regime provides enormous research challenges for the solid state lasers and for the optical components (lenses, quarterwave plates, coverlayers, detectors). This could be topics for exploratory research projects. An NA above 1 leads to higher achievable densities. But it also creates problems, both conceptually and in practical construction of the system. The conceptual problem is that evanescent optical waves become important for these lenses. These evanescent waves die out when they are made to escape the lens. They are able to probe features on a disc only when the disc and the lens are very closely together. At such a sub-wavelength proximity, a rich variety in optical effects can be used to probe sub-wavelength features in the disc. Near-field optics has been a research topic in the IST project SLAM. The physics of this has not been completely understood and comprehensive modeling tools in this regime still need to be developed. A practical problem associated to near-field optical storage is that the lens should be able to approach rotating, non-ideal plastic discs up to distances of well below 40 nm. This can be done via a slider, like in hard disc drives, and with an actuated system, like in CD, DVD and BD drives. Both methods are being studied in the world. Philips and Sony have achieved nice recent results with actuators. The FAMOUS consortium (IST project with participation of a.o. the universities of Hanover and Cambridge, Thomson, Philips, Leti) has studied media for sliders. With all these measures, near field recording systems can reach up to about a quarter of a Terabyte on a 12 cm optical disc, while being able to re-use to a large extent components, circuits and software that have been developed for Blu-ray Disc and its predecessors. 50 /138

51 51 /138

52 SuperRENS: The basic idea of super-rens is to create a near-field effect with a transducer layer inside the disc. Contrary to near-field recording, SuperRENS can provide near-field density without the disadvantage of very small distance between lens and data carrier. As illustrated in Fig near-field optics are replaced by a mask layer on top of the recording layer. During readout the laser beam heats up the mask layer locally up to about 400 C. The mask layer changes the optical properties only in the area of the beam, where the light induced temperature reaches a certain threshold level. Therefore a near-field coupling is induced in an area which is smaller than the optical resolution. In that way, very small pits or recorded marks can be read. Thomson CR 2005 Figure 3.2.5: Comparison of Near Field Optics and Super-RENS. The super-rens readout is typically characterized by the Carrier-to-Noise-Ratio (CNR) of a single tone pattern (monotone pattern of the shortest mark). An example of this kind of measurement is shown in Fig On the left side the CNR was measured for different mark length. It can be seen that even below the optical resolution limit of Blu-ray (405nm, 0.85 NA) the CNR is above 40dB for 50nm marks. 52 /138

53 The second graph demonstrates that the super-rens effect strongly depends on the readout power. The highest CNR was measured between 2 and 2.5 mw. Figure 3.2.6: CNR measured at different mark length and readout powers using Blu-ray Disc optics (405nm, 0.85 NA). Both technologies are still in an early R&D stage and many technical challenges exist: Narrow track pitch and high linear density disc mastering Optical near-field gap control by air-bearing slider or conventional 2-axis voicecoil actuator Contamination handling SIL component manufacturing (etc. tolerant lens design, high refractive index materials) Focus/track servo for small track pitch, high data rate, etc. Cover layer hard coat with improved planarity SuperRENS material/layer stack development (high CNR for small marks, read stability) Laser power margin control New data detection and coding schemes 53 /138

54 Advanced recording materials With ever increasing demands to the materials for rewritable optical discs and the need to include novel effects such as super-rens or two photon bit writing, improvements in understanding the optical properties of the materials employed and an understanding of the material limits becomes more crucial. Several groups are tackling such questions in Europe, including the group of Prof. Wuttig in Aachen which is performing a systematic study of phase change materials. Up to now, the development of phase change recording materials has been done via empirical optimization. The complexity of the interplay of the chemical composition, the amorphous and crystalline structure, their optical properties and the transition kinetics stress out the limitations of this approach and hence the need for a fundamental understanding to develop design rules for future phase change and Super-RENS materials. Research could be done to acquire a fundamental understanding of the relevant structure property relationships in phase change materials. Materials research can also help to improve the durability of optical discs to reach levels that are desirable for archival storage. In a recent publication (Nature Chemistry 2, 539 (2010)), the use of Ti 3 O 5 has been suggested, employing a photo-reversible phase transition. According to reports on this study, this could help to develop a disc with 25 TB, huge compared to present standards, indeed. The precise avenue to achieve such huge capacities has not yet been described in detail. State of the art as announced by the Blu-ray disc association is a capacity of 100 GB, sufficient to store up to 5 high definition movies. Recent announcements include SONY s plan to produce a single disc with TB capacity. This will be accomplished by blue-violet ultrafast picosecond laser diode. Such laser diodes would have a number of other interesting areas of application as well. It is not clear, though, which recording materials would be employed in conjunction with these new light sources. 54 /138

55 Figure A semi-transparent phase-change disc for multi-layer optical recording. Figure : Schematic diagram for the interplay between structural, dynamical and optical properties of phase change materials. Optical multiplexing A research consortium comprising of Imperial College London and the universities of Neuchâtel, Delft and Thessaloniki, has suggested the use of multiplexing techniques to increase the storage capacity. The idea is to store more bits of information in one location. This is not a novel solution: there have been experiments with storing additional information on discs by using pits with different shades of grey. However, these solutions all operate 55 /138

56 within a given (constant) space-bandwidth product and thus any gain in bandwidth is achieved by sacrificing signal to noise ratio. The solution put forward by the consortium is not based on conventional multiplexing but is utilising additional information hitherto left unmeasured. The method uses asymmetrical pits to encode data. Because of the higher number of bits that are stored in one optical effect, the size of the effect itself does not necessarily need to be reduced to deep-submicron size. This means that the read-out of the high-density disc may be carried out at relatively modest values of the ratio NA/λ, thus avoiding the need to cross the brick-wall barrier from Fig The fine structure that is present in the effect assures the high spatial density. Multiplexing may offer additional advantages in, for example data transfer rate. Multiplexing is naturally prone to burst error. But the consortium believes that that is not more so than BD or near-field solutions. Various multiplexing methods may be studied: Optical data storage, using far-field illumination and detection, could be based on colour-encoding of the information or via imposing various orbital angular momenta on the beams, or possibly a combination of these. Holographic optical storage Near-field recording or multiplexing techniques may take us to a quarter of a Terabyte on a 12 cm disc. If a terabyte or more would be needed on a single disc, then volumetric recording becomes an option that is worth studying. Holographic recording has been a dream in the optical storage field for a long time. Recent advances in polymeric materials and optical components like spatial light modulators have opened the way to make this dream a reality. Holographic data storage systems also hold opportunities for new signal processing, and perhaps even new storage and retrieval techniques. The page-based reading back of the information holds opportunities for a spectacular increase of the data rate. In the past mainly plane wave designs in combination with transmissive media has been applied for holographic data storage. This approach requires large optics, sophisticated multiaxis servos and ultra-stable, vibration-free mechanics. To avoid these requirements it was proposed recently by different groups to apply focused blue diode lasers for hologram 56 /138

57 recording and reading, reflective disc media and conventional DVD focus/track servo systems and actuators to avoid bulky vibration absorbers and to make the system compact. In 2004 two IST projects on holographic storage have been launched: ATHOS (Advanced Technology on Holographic Storage) and MicroHOLAS (Microholographic Data Disc for Archival Storage). The Common-aperture setup: The common aperture setup (CAS) developed within the ATHOS is designed for high capacity, high data rate and improved data addressing by embedded servo data on the medium. The basic optical concept of CAS is shown in Fig Object and reference beam share several of the optical elements, therefore vibrational disturbances are minimized. Mirror or Phasemodulator Reference Beam Laserdiode 408nm Mirror with Pinhole /4 plate Objective lens Object Beam SLM CCD CD/DVD Optics Figure 3.2.9: Principle of the common aperture setup The two beams are joined at an image plane (called mirror with pinhole in the figure) of an objective. In front of this objective, the reference beam is a plane wave tilted by a small angle with respect to the optical axis; therefore in the focal plane its spot falls next to the object beam area. The reference beams are placed at a transmissive region near the edge of the data beam which itself is placed at a reflective region of this so-called incoupling mask. Essentially, this beam configuration on the incoupling mask is then imaged to the detector, by passing through the reflective disc, as shown in Fig In the active layer of the disc, good overlap between the beams has to be ensured. 57 /138

58 reference beams (both filling whole aperture) signal beams objective lens storage layer focal plane Figure : Configuration of the object and reference beam in the common aperture setup In front of the detector, the inverse structure of the incoupling mask, the so-called outcoupling mask, has to be installed in order to stop the reference beam from reaching the detector. The MicroHolas approach In the MicroHolas project the discrete pits of a conventional optical disk are replaced by microscopic gratings. These gratings are induced in the focal region of two counterpropagating waves, as shown in fig incident read beam signal beam writing reflection gratings reading reflected Figure : Principle of the creation of microholograms 58 /138

59 The data are encoded with a type of run-length-limited code, similar to those used in conventional bit-storage. Wavelength multiplexing and multi-layer storage are proposed to use the volume efficiently for a significant increase of the storage capacity (see Fig ). addressing beam microgratings data planes Figure : Use of the volume of a disc by storing data in several layers 59 /138

60 3.2.5 Major European Players for Optical Storage Equipment: The equipment manufacturers for optical disc industry are very active in Europe particularly for the elaboration but also for the industrial test. The R&D in this field must be close connected to the R&D memories technologies to be at the top level and prepare the future generation of production lines Singulus Technologies, Germany SINGULUS TECHNOLOGIES is the worldwide biggest global manufacturer of CD and DVD replication systems to offer a comprehensive line of optical disc manufacturing processes. The company has the entire value-added chain of supply at its disposal and is world market leader in the critical areas of mastering and DVD replication. The company considers itself well-equipped for the future due to its technological core competence and the opportunities presented by the emerging HD DVD and Blu-ray third generation optical discs. Within a few short years, SINGULUS TECHNOLOGIES has attained a position of global leadership among optical data storage equipment manufacturers. The company s maturity, from its founding to its initial public offering and trade on the German stock exchange premier Tec-DAX technology index, was achieved by its technical innovations and concerted external expansion efforts. In January 2006, Singulus acquired % of the STEAG HamaTech AG shares from SES/RAG, in order to consolidate the market position. With this merger the business unit Pre-recorded Disc will be located at SINGULUS in Kahl am Main, whereas the business unit Recordable Disc will be concentrated at STEAG HamaTech in Sternenfels. Annual Sales in 2005 was reported to 244 Mio Euro. Singulus Technologies supplies the following optical storage production solutions to the market: Replication Lines - First. Optical Disc Generation Skyline II for CD ROM, CD Audio, and CD Video; Streamline II for CD-R and Sunline for CD-RW 60 /138

61 - Second Optical Disc Generation Skyline II and Spaceline II for DVD5, 9, 10, Streamline II for DVD-R and DVD+R and for double-layer DVDR - Third Optical Disc Generation Spaceline II for HD DVD, Streamline II for HD-R, and Blue-Line for BluRay Disc Mastering of Optical Disc Storage Mastering Equipment for High Speed, High Quality and for High Density Mastering Metallizer Metallizer for CD, CD-R, DVD, DVD-R, Metallizer for CD-RW, DVD-RW, DVD+RW, DVD-RAM Molding Machines Moulding machines for CD, CD-ROM and CD-Card, Molding machines for HD DVD and BluRay M2, Sweden M2 is one of the top 3 global suppliers for optical storage production lines, providing its customers with optical disk and media production solutions for CD, CDR, DVD, DVDR and HD DVD media production. The company is privately owned and operates from Stockholm, Sweden. In December 2005 M2 announced to be establishing a purpose built production facility in Thailand. Annual Sales in 2005 is not yet reported. M2 supplies the following optical storage production solutions to the market: Replication Lines First. Optical Disc Generation: - SQ1 for CD ROM, CD Audio, and CD Video; SQ3 for CD-R Second Optical Disc Generation: - SQ1, SQ2 and SQ200 for DVD5, 9, 10, SQ3 for DVD-R and DVD+R 61 /138

62 Third Optical Disc Generation: - SQ200 for HD DVD, Mastering of Optical Disc Storage - SQM Glass Mastering system for CD and DVD Kammann, Germany Werner Kammann Maschinenfabrik GmbH, a leading manufacturer of screen, offset and digital printing machines for the CD and DVD markets. In 2004 the company has licensed the Harlequin RIP from Global Graphics Software to provide a superior front-end system to complement its own brand of computer-to-plate systems. With hundreds of conventional screen printing machines and many offset machines for CD printing installed worldwide, Kammann is the leader in the CD industry. Products - K15 advanced high-speed offset press for CD/DVD decoration, - K26, CTP system for ultra-fast plate making for its CD and roll-to-roll offset decoration machines - Screen, Offset and Digital printing machines for CD and DVD Decoration DaTARIUS DaTARIUS is a world-leading supplier of test equipment, not only proving the quality of media but helping to improve quality through comprehensive products and services that embrace process optimization. DaTarius produces quality control systems for all formats: pre-recorded, recordable, and rewritable, and our revolutionary DaTABANK technology is fully enabled for Blu-ray Disc (BD) and HD DVD testing. While the test systems come under the general heading of measuring equipment, incorporating Analyzers and Evaluators, their product family extends into two further areas: process optimization, with our MF DisCo temperature optimization system, sprue recycling; and inspection, with print label, disc orientation and identification code validation. 62 /138

63 AudioDev Since the start in 1987, AudioDev has successfully built up a complete range of products for the optical media market. The products test the quality of both discs and drives (the unit that reads the disc). Their product portfolio carries the brand name CATS and Go!. The CATS-products have become the de facto industry standard for testing each format on the market. This has been achieved by ensuring very high measurement accuracy and quality. The CATS product range includes replica and stamper analyzers for both pre-mastered and recordable markets within the CD and DVD formats. Go! is a cost effective and stable complement to the CATS analyzers in the high volume production environment of recordable DVD. AudioDev has successfully released its CATS Blu-ray Disc analyzers for R/RE and ROM and recently also the CATS HD DVD analyzer for HD DVD-ROM. The CATS blue laser analyzers are based on a reference drive, developed by Toptica Photonics AG. The CATS analyzers are designed to ensure optimum measurement precision. A fully digital environment allows implementation of new parameters and measurements as the HD DVD and Blu-ray Disc formats evolves. OTHER COMPANIES: AWM Mold Tech (Moulds for injection moulding) Dr. Schenk (Disc testing equipment) TOPTICA Photonics (Disc testing equipment) Netstal (Moulding machine manufacturer) Krauss Maffei (Moulding machine & Metallizer manufacturer Obducat (High Density Mastering Equipment) 63 /138

64 3.3 Magnetic Memories The "School of Information Management and Systems of the University of Berkeley published in 2003 a comprehensive survey on how the digital information created in 2002 was stored (How Much Information? 2003, at This survey followed a previous one published in 2000, and considers only 4 media (print, film, magnetic and optical), as solid state memories still represented a very small total storage capacity at that time. One major trend is of direct relevance to this chapter: magnetic storage, already dominant in 2000, was still increasing its share in a market where the volume of new information rose at a rate of about 30% per year. About 92% of this new information was recorded on magnetic media in And this year 2002 corresponded to a low for magnetic storage manufacturers, while results were expected to be much better in This indeed happened for mass data storage in general and magnetic storage in particular, with a maintained growth since But again, this bright picture hides a very complex market in breathtakingly fast evolution, where different types of recording do not benefit equally from the global expansion. And if magnetic data storage still keeps its dominance, the detailed picture of recording uses has considerably changed, while solid state storage (NAND Flash) now competes with more traditional mass storage techniques, showing a faster development rate in the overlap areas. In this chapter we will concentrate on the latest evolutions and prospects of magnetic recording. After a short presentation of the main trends in data storage today, we will discuss in more details the various magnetic technologies, existing or emerging. The objective is not to give a detailed description of the business or technological aspects, but to review in a single document the main trends and limitations of both. 64 /138

65 The on-going evolution of Magnetic storage in a fast changing context Only a few years ago (e.g. circa 2000), the structure of the magnetic storage market looked rather simple, and had actually remained quite stable in the previous decades: Digital data storage was mostly distributed between hard disk drives (HDD) and tape storage. HDD storage was the main mass storage device in PCs and servers for everyday office use because of its excellent compromise in terms of cost/bit, random access, speed and storage capacity. Tape storage was universally used for backup and archival of large amounts of data because of its lowest cost/bit and higher storage capacity, all the more with removable cartridges that can be stored on shelf, even off site, using very limited volume and energy. Tape storage (mostly analog) also dominated the market of video recording (VHS in VCRs, camcorders) and of movie distribution to consumers. But the market of private audio recording was already quite completely lost to digital optical recording (CD), in particular because of the random access possibility. Professional audio and video recording were still using analog recording on tape for its better quality. In parallel with these two main technologies, floppy disks (standard and enhanced versions) were still widely used for daily archival of small amounts of information, in particular for inter-pc mobility. Magneto-optical (MO) storage, often considered as optical storage, was used for data storage nearly only in Japan, but showed some expansion in other countries for mobile rewritable audio recording (minidisk from SONY). The picture is now, not only very different, but also changing very fast under several interlinked factors. In short, one can say that outstanding technological progress in digital storage density triggered the way to a fast change in our use of storage, which is now putting more pressure on technology. The first technological breakthrough can be attributed to hard disk technology (cf Figure 3.3.1). With the introduction by IBM in 1991 of the magnetoresistive (MR) read head, the annual compound growth rate (CGR) of the recording areal density raised nearly immediately from about 30% (stable since several decades) to 60%. Further introduction 65 /138

66 of the spin valve (SV, or GMR for giant magnetoresistance) read head in 1997 brought this CGR up to 100% for a few years, allowing hard disk drives (HDD) to reach drive capacities so far only achievable by tape storage, but with a much more convenient quasi random access to data. A key threshold was passed when drive capacity became large enough to store many hours of video recordings (500 GB can store about 400 hours of standard TV or 44 hours of HDTV). Equally important progress was made on the head actuator, which tremendously improved the HDD ruggedness, opening the markets of consumer and mobile applications. Note that the discovery of the GMR was the subject of the Nobel prize 2007, which somewhat qualifies the importance of the breakthrough. Figure Hard disk storage: evolution of raw areal storage density versus technological breakthroughs. The second major breakthrough in mass storage was the introduction of the solid state Flash memory (cf corresponding chapter in this book). It was first centred on the new digital still camera market, which it somehow enabled. But fast increasing capacity and declining prices soon allowed Flash memory to compete with HDDs on markets such as portable media players, which were first developed with HDD only very recently before. And Flash based USB keys completely replaced Floppy disks for temporary storage of small amounts of data. The spreading use of digital data storage for sensitive information (banks, administrations, health records, etc.) generated ever increasing amounts of data together 66 /138

67 with stringent regulations on data protection and archival, changing the initial mass storage into a much more complex Information Lifecycle Management (ILM), with different levels and constraints. Two main tiers can be defined: o Backup refers to making a copy of data to be used later in case of hardware failure or file corruption. But stress is now put more on continuous data protection and recovery than just simple storage, resulting in multiple copies of data, much shorter Recovery Time Objectives (RTO, delay required to restore data after a failure), and more drastic Recovery Point Objective (RPO, which refers to the age of the data used for restores after a failure). RTO is now measured in hours and RPO in minutes, and both are still decreasing. o Archiving refers to storing data that is no more in everyday use, but has to be kept for technical or legal reasons. Here the cost and volume should be reduced for allowing the storage of vast amounts of data for many years, but in the same time data should remain fast accessible by proper indexing and efficient searching. The specific performances required depend also on the importance of the data, from standard to deep archiving. In both backup and archiving one has to combine different technologies, with an overwhelming importance of software for ensuring data treatment, protection, fast recovery after a failure, fast retrieval of specific data when needed, and ultimately error less operation of multiple storage technologies on multiple sites. The storage companies, providing all inclusive storage solutions with maintenance and evolution are thus becoming increasingly important, and profitable. The overwhelming development of mobile applications of mass storage, mostly for private use (PDAs, cell phones, still and video cameras, media players, etc.), benefits from converging progress of flat screens, electronics and of course storage, but also put more stress on ruggedness, volume and weight, and saving energy cost of operation, as battery often remains the weak point. Access time may also be critical, as consumers are sensitive to delays of only a few seconds such as recovery delay from a standby, time between pictures, and of course the somewhat longer charging times of the Operating Systems. The last major change of context for mass storage is the development of fast computer networks everywhere, which brings everyone access to huge amounts of digital data, but also contributes to outsource the storage. In professional storage, this promoted the 67 /138

68 introduction of Network Attached Storage (NAS), and now of the more elaborate Storage Area Network (SAN, defined as a storage dedicated network attached to the usual communication network of a company). In private storage, Internet is also rapidly changing the storage patterns. MP3 downloads had recently a major impact. An example to come could be the development of video on demand, for which HDD recording is needed in a set-top box or video computer. It is now also possible to store personal data on internet storage sites, such as Google s Picasa for pictures and videos, impacting the use of personal mass storage. How the general public will finally accept off site storage of personal data, compared to standard home located storage, is still being questioned. Probably both approaches will coexist, depending on data sensitivity. Magnetic storage contributed to enable, and greatly benefited from, this rapid evolution of mass storage. But in the same time it had to sustain drastic changes in the respective positions of its different technologies. A convenient milestone is the 2004 introduction by Apple of the ipod MP3 player, based on a small form factor HDD, which very rapidly outdated all other portable audio recording techniques (CD, minidisk, audio tape). This marked the first successful mass introduction of HDD storage in the consumer electronics (CE) market. But other less spectacular changes occurred in the same period and were equally important for HDD evolution, such as HDD entering the TV set-top boxes, VCR, video camcorders, etc., where it tends to replace tape (VHS, DV tape) and even DVD recording. As a whole, the proportion of HDD shipped for CE applications is expected to rise from 15% in 2004 to 40% in 2010, while a recent paper from Hitachi predicts up to 10 HDD per household in The technological evolution is however so fast that small form factor HDD for CE are already being replaced by Flash memories, a process accelerated by the sharp decline in Flash prices at the end of This is already history for audio recording, less than 3 years after the first ipod, while if mobile video recording is still dominated by HDDs the recent introduction of 16 Gbytes Flash (soon 32 GB) could rapidly change the picture. As a whole, market analysts predict much faster growth for Flash based portable multimedia players (from 5.9 Munits in 2006 to 55 Munits en 2007 and 150 Munits in 2011) than for other technologies (29.3 Munits in 2007 up to 35.3 Munits in 2011) [cf F. Grosvalet, Electronique International, June 5, 2007, paper based on numbers from isupply]. Major advantages of Flash above HDD are the 68 /138

69 ruggedness, size, and above all a much lower energy use (about 3 times less when reading and more than 10 times less when idle). The professional data storage has also undergone a major evolution. With the development of inexpensive high capacity HDD, backup has become dominated by disk-to-disk backup, either to external disks (capacity up to 1 TByte!), or to RAID set-ups (Redundant Arrays of Inexpensive Disks), or even to network storage SAN. Tape backup is still alive because of the large number of existing recorders and the difficulty and cost of replacing them with no data loss, but it s not expanding anymore and is progressively being replaced by disk. Even for the first tier of archiving, disk based virtual tape libraries can be used to simulate tape libraries, in compatibility with existing storage softwares, but with better performances on RTO and RPO. Tape still retains a large cost advantage (cost per bit reduced by about 5 for a 300TB tape versus disk library on 5 years life, cf [Report TCG L by The Clipper Group, Inc.]), but this becomes critical only for the last tier of deep archival, for very large amounts of data that should in principle never have to be accessed. The key difference fixing the divide could be that tape is very efficient for treating continuous streams of data as met in archiving, while disks can more easily treat surges and discontinuous data flow as met in backup activities. Last but not least, the competition between HDD and Flash now also reaches professional data recording on two points: Flash based Solid State Disks (SSD) offer much faster access times than disks. Although for equivalent capacities a SSD is much more expensive than a HDD, when the critical performance is access time such as for data servers, it may become more advantageous to have one SSD than several HDD in parallel, and for a compromise in cost/speed/capacity it is also possible to use the SSD as cache to an array of disks. laptops are now also offered with Flash based SSD of capacities in the GB range, where they are advertised to provide up to 3 times faster read (with much smaller access time), 1.5 faster write, and much increased battery life (Samsung, 2006). The cost is still about 10 times higher than for a HDD of much higher capacity, but this should not prevent the development of a high-end market, while the availability of pocket slim external USB HDD with above 100 GB capacities still allows carrying large amounts of data for any need (which can also be accessed through internet). 69 /138

70 Figure 3.3.2: Scheme of magnetic recording status: strongholds and moving boundaries. The full colours represent the status at Q1-2007, the hatched areas try to predict boundaries at end of PMP: Portable Media Player; SSD: Solid State Disk. We have tried to summarize the current status of magnetic recording in the Figure above. In the next paragraphs, we will rather focus on the recent progress and key technology challenges in magnetic recording. We discuss only 3 magnetic technologies: hard disk and tape recording, the two magnetic recording technologies that still keep growth potential, and the magnetic random access memory (MRAM), an emerging solid state magnetic recording technology still not adapted to mass storage, but which may foreshadow a first introduction of magnetism in the realm of silicon electronics Mainstream magnetic storage technologies Hard disk storage Main trends and technology limitations The technology behind hard disk is complex, at the convergence of state of the art achievements in magnetism, digital and analog electronics, mechanics, tribology, data processing. And innovations in the technology are also controlled by the market issues. So a detailed analysis should take into account many factors such as cost, size, total capacity, data rate and access speed, ruggedness, etc. of which the relative importance depends strongly on the specific application. For instance, the cost and energy dissipation of HDD only marginally increases when using the two sides of the disk and piling up several disks (the 70 /138

71 mechanical part is mostly unchanged). This gives HDD a huge cost advantage over Flash (which cost is proportional to Si area) when high capacities are needed with no strict volume limitation. On the contrary, HDDs with very small form factors (1 ) and one single disk are already more expensive than Flash with similar capacity (below 16 GBytes): here the complexity of the rotating disk paradigm becomes a major disadvantage, as it is also for energy cost and access times (see below). The trend in areal recording density (expressed in Gbit per square inch (psi) or Gbit/in 2 ) is however a good parameter to illustrate the evolution of hard disk recording, because it is directly sensitive to all technical evolutions. Figure above shows the long term trend of the physical areal density. However, for comparing to other mass storage technologies, the user areal density is a better parameter because it is corrected for the area used for tracking signals and error correction. User areal density in HDD is about 70% of the physical areal density with today s technology. Figures below compares the trend in user areal density for hard disk recording, optical recording and NAND Flash: as seen already in Fig , the trend for HDD is by far less stable than for instance Moore's law for semiconductors. This actually evidences that hard disk technology has been pushing its limits for a long time: each upward break in the curve corresponds to a technological breakthrough overcoming a bottleneck. the saturation observed in for HDD is related to both technical limitations and to an economic low in hard disk industry in 2001, which slowed down innovation. The recovery that started in 2004 is also linked to both the development of HDD use in consumer s electronics (for instance, the ipod was introduced in 2004) and to other technological breakthroughs such as the changes to perpendicular recording and to TMR read heads, implemented in 2005, and which initiated the present growth rate of ~40%. the lasting advantage of HDD on Flash is clearly linked to the 6 years of 100% growth rate that followed the introduction of the SV read head in But the saturation in has already reduced this advantage to a factor of order /138

72 Both Flash RAM and HDD seem now to follow a comparable ~40% growth rate, actually the Moore s law. However the figure does not include the implementation of multibit cells in Flash RAM (already 2 bits/cell). HDD maintains a clear advantage on optical recording, feeding the present development of HDD for video recording. Recorded video distribution remains controlled by removable optical storage (CD, DVDs and BD of HD-DVD), although internet distribution with temporary HDD storage tends to develop fast. The hatched areas on the upper right of Fig represent estimates of the technical limitations expected for HDD and Flash technologies. By conventional recording, we understand a rotating disk with a continuous film of perpendicularly magnetized granular media written by a magnetic field pulse. A saturation of physical areal density in conventional recording was predicted around 1 Tbit/in 2 based on fundamental arguments [Wood, R., IEEE Transactions on Magnetics 36 (1), 36 (2000)]. However, the trend for HDD should remain stable around 40% areal density growth rate for the next few years. For instance, a demo has been published by Seagate in September 2006 with 412 Gbit/in 2 physical areal density, about two times more than in today s best product (~205 Gbit/in 2 in October 2007). It was obtained using product channel, perpendicular head and thermally stable media created with current production equipment, so it can be taken as a lower limit of what conventional technology can achieve, and should come to product around 2009 along a 40% growth rate. At this density level, Seagate expects the capacity ranges to result in solutions of 275GB for 1.8-inch consumer electronics drives, 500GB for 2.5-inch notebook drives, and nearly 2.5TB for 3.5-inch desktop and enterprise class drives. 72 /138

73 Figure 3.3.3: Compared evolution of the user areal density (=raw areal density x coding efficiency) in commercial products from different recording technologies (cf legend in the Figure). The Figure is adapted from an original work by J. van Haaren, PHILIPS. The situation of the HDD conventional recording limit is taken from R. Wood, Hitachi GST (Intermag 2006). The trend represented for Flash RAM (NAND) is basically the Moore s law, and does not take into account the gains already achieved using multibit cells. The foreseen limit is discussed in more details in the solid state memory chapter of this report. NOTE: the left vertical scale is in bit/µm 2, the usual unit of Gbit/in 2 is shown on the right. 1Gbit/in 2 = 1.55 bit/µm 2. Beyond , the evolution will depend both on opportunities in recently introduced technologies that are not yet fully developed, and on more advanced alternative technologies that are still at research level. These will be discussed below. However, the saturation is expected to start earlier for HDD than for Flash (see Figure). But areal density is not the only factor. On table we compare the evolution of main performances of HDD products from the first RAMAC in 1957 to now. Two typical performances of CPUs are given for comparison. This clearly shows that, even if the current growth rate of storage areal density can be maintained beyond 2012, the hard disk suffers from its slow access time, directly arising from the rotating disk paradigm that hasn t changed since 1957: For computing applications, the hard disk is already by far the slowest element in a computer, a strong argument in favour of SSD. 73 /138

74 For mobile applications, the battery cost related to the mechanical drive by far exceeds that of a NAND Flash, all the more in idle regime where it is 10 to 50 higher with standard technologies. So beyond the necessary improvements that are on the way, there is a strong motivation for a paradigm shift away from the conventional HDD, as we shall also discuss below. HDD main performances factor (upper limit?) Storage areal density 2 kbit/in 2 ~200 Gbit/in ~ 1Tb/in 2 Data rate 150 kbit/s ~3 Gbit/s ~ ~a few Gbit/s Rotation speed rpm rpm ~12 ~ rpm Access time (seek+latency) 50 ms ~5 ms ~10 ~ a few ms For comparison: CPU in CMOS electronics Transistor area 100 khz ~1mm 2 4 Ghz 4 10 ~ 10GHz, multicore µp ~100x100 nm ~ 25x25 nm 2 (~1 TeraT/in 2 ) Table 3.3.1: The numbers provided for HDD have been taken from the best performances displayed by products, but of course they do not come from the same product. For instance, the highest rotation speed and lowest access time is reached for high end servers where data rate is critical, but such disks use lower areal density (~130 Gbit/in 2 ). Small form factor disks for mobile applications (such as laptop) have the higher densities, but they use slow rotation speeds (~5400 rpm) to save battery use. High capacity disks for VCR and set-top boxes also use slow rotation speeds (~7200 rpm) but here one important requirement is the silence of operation. Fundamental limits in conventional recording Limits in magnetic recording come from trade offs between signal to noise, thermal stability and write ability, three factors linked to the media. Physics does not allow writing small bits in a homogeneous magnetic media. Hence conventional recording uses granular media obtained by co-depositing a magnetic alloy and a spacer material (such as Cr, SiO 2 or else C) that segregates between magnetic grains to cut the magnetic exchange interaction. So a limit between two recorded bits has to follow the grain boundaries, which makes it rough, and thus more difficult to detect. With today's numbers this effect dominates the signal to noise ratio and requires about 100 grains/bit to maintain the 74 /138

75 error rate. Hence grains should be smaller and more uniform in size for higher recording densities. Thermal stability describes the possibility that one grain spontaneously reverses its magnetization by thermal activation. It is usually characterized by the factor KV, where K is the magnetic anisotropy constant of the grain and V its volume. To obtain 10 years stability of stored information KV must be greater than a factor that takes into account thermal energy and the very strong dipolar coupling between antiparallel grains in two neighbouring bits. So decreasing the grain size requires not only increasing K but also narrowing the grain size distribution, as smaller grains would become highly unstable. But then increasing K increases the magnetic field for writing the bit. By , longitudinal writing heads had already reached some kind of a limit. The use of Synthetic AntiFerromagnetic medias to decrease inter-bit dipolar coupling allowed to write smaller bits at constant thermal stability and writing power, but only postponed the limit for a short while. Recent breakthroughs in conventional hard disk technologies The years since 2005 have seen an amazing sequence of technological breakthroughs. The first one, somehow enabling the others, was the shift from longitudinal to perpendicular recording initiated in 2005 by Toshiba, Seagate, Hitachi. Figure compares the head and media configuration in the two technologies. The major advantage brought by perpendicular recording is clearly visible: a soft magnetic underlayer deposited just below the recording layer mirrors the magnetic poles of the flying head. The recording layer is thus situated right inside the gap of the pole pieces, which allows increasing the writing field by a factor of 2 or 3. The perpendicular configuration has other less obvious advantages such as reducing the adverse effect of dipolar coupling on thermal stability (at very high densities), or allowing a much better control of the magnetic anisotropies of the grains in strength and uniformity, in particular for the easy axis orientation. However, the realization of the media is much more complex, with two magnetic layers to be optimized with very different structures and properties, while keeping as thin as possible a spacer layer that also serves as buffer layer for the recording layer growth. 75 /138

76 Figure 3.3.4: Comparison between longitudinal and perpendicular recording: head and media configurations. Image taken from the note TP-549 edited by Seagate, February The second major breakthrough was the introduction by Seagate in 2005 of the TMR head using a magnetic tunnel junction [Mao, S. et al., IEEE Transactions on Magnetics 42, 97 (2006)]. Beyond the increase in sensitivity, the vertical structure of the TMR sensor helps simplifying the read head integration by using the magnetic shields on either side of the sensors as electrical contacts, as shown on Figure (by contrast with the integration of the planar spin valve sensor shown in Fig ). Such a Current Perpendicular to Plane (CPP) configuration allows optimizing more easily the head geometry, in particular the sensor width versus the track width and the gap between the shields. On the long term, for very high densities, tunnel junctions should be replaced by CPP-spin valve or Fig : Configuration of a Current GMR sensors to maintain a low enough resistance Perpendicular to Plane (CPP) read head for [Takagishi, M. et al., IEEE Transactions on HDD recording. Magnetics 38, 2277 (2002)]. The limit areal density for TMR is estimated around 500 Gbit/in 2. Hitachi GST announced in October 2007 the world smallest read head for hard drives based on CPP-GMR, claiming that it will allow to continue the increase in areal density up to 2011, and promising for this date recording on 76 /138

77 track widths down to 30nm (areal density near 1 Tbit/in 2 ), for desk top and notebook drives capacities of respectively 4 and 1 TBytes. Crucial progress has also been made on the mechanics and in particular to improve ruggedness for mobiles applications. A first step forward was the implementation of load/unload technologies that can park the head off the disk before powering down the disk, and take it back over the disk when it has reached the appropriate rotational speed: this allowed to eliminate stiction problems with the media of enhanced smoothness required for higher densities, while also providing a convenient idle mode with lower speed/weak energy consumption and fast recovery time [cf Kim, P. and Suk, M., technical note of January 2005, Drives are even equipped with vibration sensors able to feedforward a signal to the actuator that control s the head s position on the track, or detect a free fall and park the head in time before the crash. A further critical improvement will be the generalized implementation of a secondary actuator using piezo elements at some place near or at the suspension of the slider, and ultimately will happen at the attachment of the head to the slider. This secondary actuator will have a much smaller stroke but a low mass and much higher bandwidth, allowing the much more accurate track following required for narrower tracks. Controlling very precisely the distance between head and media has become more and more critical with increasing density. Two factors contribute to this distance, the physical spacing between head and disk surfaces or fly-height (now in the 10nm range), and the protrusion towards the disk surface of the read-write element attached at the end of the slider. Both depend on operating conditions such as temperature. Figure : Thermal Fly-height Control (TFC) of the Hitachi GST introduced in 2006 the head to media spacing: a heater integrated into the Thermal Fly-height Control (TFC) read/write head stabilizes the protrusion of the head at concept, where a heating element the end of the slider [Schultz, B. E., Technical note of April 2007, integrated inside the read/write head 77 /138

78 allows to maintain a consistent spacing between head and magnetic media, independent of temperature and writing conditions, by controlling the protrusion of the head (cf Figure 3.3.6). Access time limitation is also finding a partial answer with the development of Hybrid drives, associating a non volatile cache memory to a hard disk. This was a combined effort of hard disk companies (Seagate, Samsung, Hitachi) and Microsoft, leading for instance to the implementation of such hybrid disks in the new Vista Operating System. By limiting the direct access to the disk, this configuration reduces both the access time for the main data being used and the time for on/off power switching with desktop save, basically taking it to the performance of the memory. This can also drastically reduce the power consumption (for instance by implementing idle modes for the disk as long as only cache memory is in use). Samsung introduced in March 2007 a first hybrid 2.5 disk for laptops (MH80, up to 160 Gbyte capacity with 128 or 256 Mbytes NAND), announcing 50% reduction of the start-up time and up to 30mn enhanced battery life. It was followed by Seagate in October, with a 160 GByte/256 MByte NAND disk claiming a power consumption reduced by 50% in average and up to 90% at times when the disk can be powered off, a key performance for competing with more expensive SSDs. Alternative technologies under development Another important breakthrough to be introduced soon could be the development of exchange coupled composite media [Victora, R. H. & Shen, X., IEE Transactions on Magnetics 41, 2828 (2005)] or exchange spring media [Suess, D. et al., Applied Physics Letters 87 (1), (2005)]. In the later for instance, a magnetic grain in a perpendicular recording medium, in the shape of a vertical needle, would be a sandwich of high/low/high anisotropy magnetic material (Figure (B)). Thermal stability is somewhat transferred to the whole volume by the high anisotropy ends, while the soft central part reduces the switching field and its grain to grain distribution, by providing an easy and reproducible reversal path. The concept can even be developed for more complex multilayers, allowing to further reduce the writing field for constant thermal stability barrier [Suess, D., Applied Physics Letters 89 (11), (2006)]. It could be used immediately to improve today s media, but is also expected to extend the write ability of thermally stable grains up to areal densities of the order of 10 Tbit/in 2, provided of course that all other problems are solved for such densities. 78 /138

79 Figure : (A) Granular magnetic media for perpendicular recording ((c) R. Wood, Intermag 2006) (B) Principle of exchange spring media proposed by Suess et al., shown for a trilayer of hard/soft/hard magnetic In particular, as mentioned above, the intrinsic size and shape distribution of granular media, at the origin of rough grain boundaries (cf scheme on Fig (A)), will become more and more a problem when reducing the bit size both for reading and for tracking. Chemistry based self organization and self assembly techniques have been proposed already a few years ago [S. Sun et al., Science 287, 1989 (2000)] to solve this problem. Layers of spherical magnetic particles with one order of magnitude narrower size distribution have indeed been obtained, but it proved impossible to stabilize the ordered alloys (such as L10 FePt) required to provide the high anisotropy for thermal stability. Similar techniques have obtained self organized media thermally stable at room temperature in the form of nanorods where shape provides the anisotropy, as shown in Figure However heterogeneous materials with enhanced properties such as exchange spring media cannot be easily fabricated using such techniques, so writing power will remain a strong limitation. Another limitation is the impossibility experienced so far to obtain homogenous self assembly on the large area of a disk. Figure 3.3.8: Regular self assembly of Co nanorods thermally stable at room temperature, with equivalent density of 43 Teragrain/in 2 [F. Dumestre et al., Angew. Chem. (Int Ed) 42, 5213 (2003)]. 79 /138

80 The solution proposed above is actually just one step along a more general objective called patterned media. The basic idea is simple. In today s granular media, the ultimate density is limited by the grain size, actually by the less stable smaller grains: this requires keeping 100 or so magnetic grains per bit to maintain signal to noise ratio and reliability (cf Fig ). If we can replace that by single grains (one grain per bit) evenly spaced on the substrate and with very narrow distribution of magnetic properties, then we can hope to readily gain one or two orders of magnitude in densities over the conventional granular media. There are two extreme routes for patterned media, schematized on Figure 3.3.9: (A) pattern a uniform magnetic media previously deposited on a flat substrate. This in principle allows to use any kind of magnetic media from conventional to more elaborate stacks, with excellent control of their quality by standard deposition processes. But dusts generated by the etching process at the end are a problem, abd patterning may also degrade the media and protection layers. (B) deposit a media on top of a patterned substrate. This solves the problem of dust, as the media and the protection layers are deposited at the very end of the process. Besides, etching single material substrates can be more easily optimized by reactive etching (wet or dry) than for complex multilayers. But this approach is limited to a thin media, and for instance the continuous soft underlayer of perpendicular recording may be a problem. And the media deposited in the valleys may influence on the read-write process. Figure : Scheme of main patterned media solutions First, low cost high throughput patterning techniques are needed, with furthermore outstanding resolution. Even by assuming 1/1 bit aspect ratios (which poses a problem for tracking because of a much narrower track), at 1 Tbit/in 2 areal density the bit size should be around 12.5x12.5 nm 2, on 25 nm in plane pitches. Nano-imprint lithography could be used, provided that such spatial resolution can be reached with nearly perfect uniformity above 80 /138

81 typical disks areas, which has not yet been demonstrated. So a lot of intermediate paths are followed, often mixing several bottom up and top-down approaches. A comprehensive review can be found in [Terris, B. D. and Thomson, T., Journal of Physics D: Applied Physics 38, R199 (2005)]. Here we will only discuss a few typical examples, or solutions proposed since Patterned grooves could be a first step, as track width is currently somewhat larger than bit length and more accessible to patterning techniques. Toshiba indeed announced in September 2007 a prototype disk implementing the Discrete Track Recording Technology, where grooves are patterned between adjacent magnetic tracks (Fig ) to reduce signal interferences, making possible shorter track pitch with improved signal quality for higher bit density. The technology allowed increasing capacity from 80GByte to a record 120GByte on a single platter 1.8 disk, for an enhanced areal density of 330 Bbit/in 2. Mass production of disks integrating DTR technology is planned for Figure : Discrete Track Recording Technology. Image adapted from the technical note Toshiba Leads Industry in Bringing Discrete Track Recording Density to Prototype of 120GB Hard Disk Drive, released 06 September 2007 Similar in concept, in so much as it is an incremental change on conventional HDD technology, rather than a fundamental shift, is the use of so-called shingled recording. Here adjacent tracks are allowed to overlap so as to increase densities. The payback is that more complex readback algorithms will be necessary, and direct overwriting may be compromised. 81 /138

82 The shingled recording concept See Wood et al., IEEE Mag 45, 917 (2009 The use of self assembled spheres has also been proposed as a nanopatterned natural etching mask that can be deposited on a magnetic film. To obtain a perfect arrangement the self assembling is confined in grooves/tracks patterned in the disk substrate, the so-called Artificially Assisted Self-Assembly [Naito, K. et al., IEEE Transactions on Magnetics 38, 1949 (2002)]. This technique could constitute a next step to increase linear bit density after the introduction of the Discrete Track Media, according to researchers from Toshiba [Kikitsu, A., IEEE Transactions on Magnetics 43, 3685 (2007)]. Self assembled spheres could also be used as patterned substrate for magnetic material deposition [Albrecht, M. et al., Nat Mater 4 (3), 203 (2005)]. This further brings interesting writing properties due to the intra-bit non uniformity of film properties, intrinsic to deposition on a curved surface, and which provides a reproducible reversal path to reduce switching field strength and distribution width. The nanohole patterned media technique proposed by Fujitsu researchers also uses self assembly. It consists in depositing an aluminium layer on top a the soft underlayer of perpendicular recording media, then anodize the aluminium to create arrays of vertical nanoholes, which are then filled using electrodeposition with magnetic materials such as Co. The magnetic nano-needles have strong anisotropies along the long axis, and can be dynamically read and written using a standard perpendicular hard disk head [Oshima, H. et al., IEEE Transactions on Magnetics 43, 2148 (2007)]. Highly ordered arrays of nanoholes have been obtained with 13nm pitch by guiding the anodization process [Matsui, Y. et al, Small 2 (4), 522 (2006)]. This represents an areal density well above 1 Tbit/in /138

83 Finally, analysis of recording potential also shows that recording performance in bit-patterned media is dominated by writing errors [H.J. Richter et al. Appl. Phys. Letters 88, (2006)] arising from fluctuations of bits magnetic properties and position, together with servo jitter. Recent experiments indeed show that, even with good dot geometry uniformity obtained by state of the art patterning methods (such as e-beam lithography), one observes a wide distribution of writing fields, probably linked to distribution of nucleation sites very difficult to homogenise. This however would be solved by developing more elaborate media to provide an artificially controlled nucleation process, such as in the exchange spring magnetic stack discussed above. In parallel with the media improvements, another favorite breakthrough will be the introduction of Heat Assisted Magnetic Recording (HAMR), where a laser pulse heats the media during a writing process to locally decrease magnetic anisotropy constant K and allow writing ultrahigh K media (FePt, CoPt ordered alloys for instance) with limited write field. The HAMR technique See Kryder + Kim, IEEE Mag 45, 3406 (2009) Promising tests have been published using external laser beams, but the problem remains to bring the laser beam to the write head, and to localise the heat transmission to the media down to resolution well below standard focus size of light. This last point could be reached using 83 /138

84 near field plasmon techniques, and sub-100 nm spot sizes have already been reached. However, other problems will remain such as controlling the magneto-thermo-dynamics above a few GHz, or adapting HAMR to patterned media. HDD companies are actively working on HAMR, and show confidence that "areal densities as high as 100Tb/in2 will eventually be possible using this technology" (cf "What's New from MMIS July 24, 2004). Finally, microwave assisted writing was also proposed to reduce the writing field (cf for instance Nembach, H. T. et al., Appl. Phys. Lett. 90, (2007), and references herein) Paradigm shifts One big step forward would be to replace the rotating disk principle by another, providing much faster bit access and lower energy cost, while preserving the other main qualities of HDD such as areal density, cost/bit and data transfer rate. Probe storage technologies such a IBM s millipede (cf chapter on Emerging Technologies of this report), using large arrays of probes, could indeed be a solution provided that a convenient way is found to write magnetic media with a nanotip. A somewhat intermediate solution was proposed recently by the UK start-up Dataslide (cf Figure ). In this appealing scheme, a standard magnetic media is deposited on a plate, which is moved linearly by a piezo actuator in front of an array of read/write heads deposited on a second plate. Each head would address the equivalent of a linear sector, which might contain few pages of data. In this concept, the bit linear density could be comparable to that of a HDD (same media). Linear speeds accessible with piezo actuators would be high enough to preserve data transfer rate at each head, and several pages could even be read in parallel. And access time to a given page would become much shorter. However several problems remain to be solved, and for instance a lot may depend on the realization of the array of planar integrated read/write head, maybe the major issue of this concept. The planar head concept, developed by SILMAG in the 80es for inductive heads, has never been adapted to magnetoresistive heads and perpendicular recording. 84 /138

85 Figure : A new paradigm moving away from the rotating disk: a standard magnetic media is deposited on a plate, which is moved linearly by a piezo actuator in front of an array of read/write heads deposited on a second plate. Proposed by the Dataslide company (UK). A fully solid state solution for a "virtual HD" was also proposed recently under the name of Domain wall racetrack memory [S. Parkin, US patent 6,834,005B1 published Dec. 21, 2004], or for another solution under the name of Ferromagnetic shift register [Allwood, D. A. et al. Science 296, 2003 (2002)]. The principle of the storage track is show in Figure Information is stored as contiguous magnetization domains in a magnetic strip, as it would happen for instance in a given sector of a hard disk. However, instead of a moving head reading along the strip, the strip is fixed above a fixed head and the domains are made to migrate synchronously through it with either an external magnetic field or pushed by a current injected in the strip (spin transfer effect). Figure : General principle of the domain wall racetrack memory. Domain walls speeds of about 100nm/ns have already been reached, so high data transfer rates could in principle be reached. The bit linear density should be quite below that of a hard disk (the media needs must be homogeneous so that domain walls can propagate, so domain wall width and minimum domain sizes will be several times higher than in granular media), but vertical tracks or 3D stacking of racetrack layers can be proposed. There however remains a lot of fundamental problems to solve on domain wall control, and the concept is only emerging. Several companies such as Samsung, IBM, are however already developing it. 85 /138

86 Note that a new FP7 STREP MAGWIRE project ( ) to study the feasibility of Race Track Memory has recently begun. It involves 2 companies (SINGULUS, NUMONYX) + 4 academic groups Status of the market About 450 million units of HD drives were shipped in 2006, against ~240 million sold in With each disk worth US$72 in average [MMIS web site, 29 September 2006] this makes a global market of about 32 billion $ ex-factory, clearly expanding under in particular the development consumer s electronics applications. The fast evolution of hard disk technology puts high pressure on research in multidisciplinary areas. So hard disk industry is very competitive, and today only 6 major manufacturers remain: Seagate (which absorbed Maxtor in 2007), Western Digital, Hitachi, Toshiba, Fujitsu et Samsung, and for heads only: TDK/Headway and Alps, with still a few media manufacturers (Komag was recently absorbed by WD). Figure : The IC content in a HD drive (from L. Baldi, ST Microelectronics) But expanding hard disk industry has an important impact also on other manufacturers. HD has for instance quite a high content of electronic IC, as schematized in Figure The "ICs for HD" market was slightly above 3 B$ in 2003 (cf L. Baldi, ST Microelectronics), and is expected to grow with the number of disks sold. With hybrid hard disks now having a NAND buffer memory, the electronic content is also getting more complex. 86 /138

87 The role of Europe Seagate Northern Ireland remains the only real European direct player, after IBM sold its hard disk division to Hitachi and closed its Germany and Hungary plants. The Seagate Springtown plant is the world s largest producer of heads, and is steadily expanding and hiring new people. ST Microelectronics and Infineon (with respectively 540 M$ (N 2 ranking) and 33 M$ market share in 2003) are important players in the field of ICs for HD. Singulus and Unaxis are among leading manufacturers of thin film deposition equipment for this area (together with other optical data storage areas). Hence EU is still an important though indirect player in HD recording. The desperate need for breakthroughs can also make a start-up or SMEs or Research Center very successful at securing fundamental intellectual property. If we consider the possibility that existing European companies may participate to future disruptive evolutions of the technology, then for instance: - Dataslide (UK), mentioned above, is an example of possible disruptive technology development. - ODC Nimbus (UK), Obducat (Sweden), Süss Microtech (D) could take an important part in patterned media development by providing expertise and equipment for master masks fabrication and technology for nanoimprint, - Plasmaquest (UK), a specialist of plasma solutions in particular for multilayers deposition, could bring its specific expertise to the very stringent requirements of today s magnetic media and head deposition, furthermore on patterned substrates. - Sensitec-Naomi GmbH (D), a spin off of former IBM Mainz, proposes electronics and magnetic technology developments based on magnetoresistive devices for recording, sensors, MEMS, etc that directly come from read head technologies. 87 /138

88 Tape Storage As mentioned above, tape storage market has rapidly changed in the last few years due to severe competition with other forms of storage. The tape for private audio-video recording is now replaced by optical (DVD ) or hard disk (set top boxes) storage. For mobile video recording (camcorders), until very recently a stronghold, tape is now being replaced by Flash NAND or HDD or 80mm DVD. Data storage is the only remaining application where tape seems to have some potential for growth, but no more on primary backup and rather as second/third tier storage (archival) after HDD/SSD storage systems (SAN, NAS). However, according to most experts, the expected decline of tape recording is not yet for tomorrow, as tape storage still holds some strong advantages on all other solutions for archival data storage and has a large margin for improvement, compared to other storage techniques, at a time of explosive growth of data production and enhanced data security regulations. Besides, the existing market of tape backup is quite large, and generates at least maintenance. Technology and limitations Tape storage has a much lower areal density than hard disk, but compensates by an extremely large area of media in a comparable volume. For instance, when in 2003 a hard disk drive could store 70 Gbits.in² but only on an area of a few in 2, tape had only 0.5 Gbit/in² areal density but on in² total area. So tape products with more than 1 TByte/cartridge (compressed data) have come out as soon as And tape is a removable media, so a large number of cartridges can be stored on shelves off site, making it a quasi 3D storage (tape data storage is also shifting to smaller cartridge form factor, to facilitate storage and automation). Besides, the lower cost of cartridges compensates the somewhat higher cost of the drives. Mid term reliability is also supposed to be much better than for hard disks, the main competitor. These are the main reason for conserving tape as preferred data archival technique, more than a hypothetical very long term reliability that is limited by mechanical stability of media and tape. 88 /138

89 Tape is intrinsically a sequential recording technique, and access time is nearly impossible to improve (difficult to move tape faster). For continuous streams of data to be stored, as in archival, this is not a critical parameter compared to data transfer rates and higher areal densities, two areas where major improvements are possible. Data rate can be directly improved by using mutichanneling techniques, already achieved with enterprise quality standards such as LTO or DLT (for instance, the LTO-2 standard has 8 channels read/written in parallel by arrays of head). A mixed technology with magneto-optical readout has been developed to follow up to 64 channels in parallel, although it s not yet announced in products. Higher areal densities with better signal-to-noise ratio could be obtained by using magnetoresistive (spin valve) read heads. However, in tape recording the tape is moving in contact with the head, and spin valve heads are more sensitive to wear out at the tape-to-head interface than regular heads. Major improvements are thus needed in tribology, but also in magnetic media. Besides, more sensitive heads are not yet required. Moreover, reducing bit length and track width is made difficult by the mechanical problems linked to the fact that tape is a flexible support: dimensions fluctuate with temperature, humidity (tape "flubber"), precise tape guiding is also a problem that may decrease reliability (cf Storage Magazine, March 2004), and controlling the winding is also important to avoid trapping bubbles etc Magneto-optical read out can for instance offer extremely convenient tracking ability to help face those problems, at the expense of enhanced drive complexity and cost. And, as in other recording technique, a lot is required from advanced signal processing and error coding to improve the signal to noise ratio, if areal density goes up. Leading products today, with assumed potential for long term development, are the two standards LTO and DLT, with faster data transfer rate and higher cost for LTO at similar tape capacities. For instance, today s LTO4 tape cartridge displays 800 GByte native capacity (1.6 TByte compressed) with data transfer rate up to 240MByte/s. For the same capacity, the DLT-S4 tape cartridge offers about half this data transfer rate but is sold for about half the 89 /138

90 price and promises reliable operation in high duty cycle environment with one million passes and a 30-year archival life (numbers from Quantum products, And a promising future of tape development has been somewhat outlined by the demo, announced by IBM in May 2006, of an areal density 6.67 Gbit/in 2, about 20 times higher than the LTO3 product that was the industry standard at that time (the LTO3 cartridge has half the capacity of the LTO4). The demonstration used a giant magnetoresistance head. Based on this achievement, IBM claims a product with 8 TBytes native capacity by Note that by this time, according to the best expectation hard disk should have reached an areal density of 1Tbit/in 2, for drives of capacity also around a 1-10 TBytes, depending on form factor. In Aug 2010 : IBM/Fujitsu announced a new Barrium Ferrite media with PMA allowing density > 50 Gb/in 2 and a very low friction Status of the market As backup uses gradually shift from standalone backup to network backup, the tape market is now mostly a market of libraries and integrated storage solutions, with a shift from compact tape drives to more complex automated solutions. Global tape hardware market reached about US$ 5.9B in 2004, splitted in 25% media, 36% drive, 39% automation. It was on the rebound after a low in In the future, this market is expected to increase slowly, while revenue will come mostly from high end and enterprise products, in a very competitive market for products manufacturers (after severe restructuring in 2003). The role of Europe The bankruptcy of European major EMTEC in 2003, one of the two largest producers of consumer audio and video tapes, reflects the rapidly changing nature of recording media market as described above. At about the same time, European major Tandberg transformed into Tandberg Data (integrated solution company), Tandberg Storage (hardware) and O-Mass, former subsidiary 90 /138

91 of Tanberg Storage and Imation (a leading US company). If the name O-Mass seems to have disappeared, Tandberg Data and Tandberg Storage (cf. are both doing well. Sensitec-Naomi GmbH (D) also possess expertise on GMR sensors that could be useful to develop the new tape technologies. Finally, many companies such as Storagetek France (F), Imation Germany (D), Hi-Stor (F), propose integrated backup systems that associate tape libraries with HD systems. Software is a key asset in such systems, and the storage software area is indeed in fast progressions, much faster than hardware. Such companies are strongly interested in what technological breakthroughs could bring to tape storage, as this could give them a leading edge for future developments Research opportunities in mainstream magnetic storage technologies As discussed above, both mainstream magnetic technologies are now requiring major technological improvements and even breakthroughs, which puts increasing pressure on research. Solutions will be linked more and more to Nanosciences and Nanotechnologies, in particular for hard disk which has already entered the nanoworld. Moreover, need for storage will go on increasing and storage is becoming a critical market for future high technology development. Europe has high quality institutions such as INESC (P), Mesa Twente (NL), LETI/Spintec (F), Univsities of Manchester, Exeter, York, Glasgow etc (UK), and a wealth of smaller groups, that are already leaders in magnetic storage research, keeping contact and often working with the best companies over the world. And such a need for breakthroughs opens wide opportunities for research to develop and secure Intellectual Property. This can be done for instance through start-up companies. Research should also help existing European companies, as technological advance will become more and more critical for survival. Finally, a strong research seems the only mean to keep young scientists in Europe to work on this major field of ICT technologies, in the absence of a big dominating industry. So we believe that European Union should support research and development on magnetic storage. For helping industry and start-ups on specific developments and innovations, 91 /138

92 STREPs would remain the key tool, while Marie-Curie grants and networks are well suited for young researchers training. 92 /138

93 3.3.3 Emerging magnetic recording technology: magnetic random access memory The interest for non volatile solid state storage was already briefly presented in part 2.1 Solid State Memories of this White book, where Magnetic Random Access Memories (MRAM) technology was rapidly presented as one of several candidates for disruptive evolutions. But solid state mass mobile storage for consumer s applications, today and tomorrow dominated by the Flash memory, is not the only application that could gain from a non volatile solid state memory (NVM) able to compete in performances with other RAM memories such as DRAM and SRAM. For instance, energy savings could be huge if computers could be turned on and off within microseconds or less, without losing information. This not only requires non volatile standalone memories, but also non volatile backup registers densely distributed inside the logic circuits themselves. The first computer RAMs were magnetic (tore memories), but were long ago replaced by more integrated semiconductor RAMS. Bubble memories, introduced by Intel in the 80s but then rapidly dropped, was a first example of true solid state magnetic NVM that however had not the versatility to go beyond simple mass data storage. The discovery in 1988 by European scientists of the giant magnetoresistance (GMR) of magnetic multilayers (Nobel prize 2007 for A. Fert and P. Gruenberg), followed by the practical implementation in 1995 of the magnetic tunnel junction (MTJ, modelled as early as 1975 by another European scientist, M. Jullières), indeed provided the first magneto-electronic vertical device with the potential to be integrated into main stream high density MOS electronics. This started MRAM development. It has been often repeated in the early stages that MRAM has the potential to become as dense as DRAM, as fast as SRAM, added to non volatility and radiation hardness which are two iconic qualities of magnetic storage. However, usual trade-offs between thermal stability and write ability, already met in hard disk, add up with specific constraints of integration into mainstream semiconductor technology to determine future scalability. This will fix the exact fate of MRAM, from wide application to high density mass storage to restriction into embedded memories (where the size requirements are less stringent). Hence innovative research is deeply needed. 93 /138

94 Technology and limitations In today's MRAM technology the binary information is stored on the orientation of the magnetization of the free layer F1 of a [F1/I/F2] MTJ stack, where I is a tunnel barrier (initially amorphous Al 2 O 3 ) and F2 a magnetic layer whose magnetisation is pinned along one orientation. The tunnel resistance of the device depends on the orientation of F1 through spin dependent tunnelling of charge carriers across I. Such MTJ cells are then placed at the crosspoints of a dense array of perpendicular conducting lines, such as shown on Figure This is the standard "cross-point" architecture. Figure ( S.S.P. Parkin, IBM) In conventional writing processes current pulses are sent through two perpendicular lines, and the resulting field is high enough to reverse the F1 magnetisation only at the line crossing. The intrinsic reversal process takes a few ns in the so-called "quasi static" mode, and can be much faster (below 200 ps) using fundamental properties of magnetization dynamics (precession). Because of limited tunnel magnetoresistance amplitude ( R/R~50% with AlO barrier), the reading process requires that transistors be placed in series with each MTJ cell, to select the cell whose resistance needs to be measured. This architecture is called 1T/1MTJ and the corresponding cell is shown in Figure Figure /138

95 Figure shows a scheme of the integration of the MTJ in the MOS technology, corresponding to the 128 kbits memory core demonstration presented in June 2003 by the Infineon/IBM consortium, one of the earliest. Figure : IBM/Infineon 128 kbits MRAM core (DeBrosse et al., IEEE J. of SSC 39, 678 (2004)). The RAM was realized in CMOS 0.18 µm technology, with a cell size ~43 F2 and Vdc = 1.8 V. Write/read times are around 5 ns. From: DeBrosse, J. et al., IEEE Journal of Solid-State Circuits 39, 678 (2004) A first interesting observation is that the MTJ is made after completion of the MOS part (above metal level M1), and connected to it through vertical conducting vias. In terms of integration into MOS technology this may not raise too much the number of lithography levels (and so the fabrication cost), and proves interesting for embedded memory applications. But it limits the high temperature back end annealing usual in MOS technology. In terms of mass storage, this may provide a substantial advantage if one can get rid of the read selection transistor and use the simple cross point architecture. Then one can hope to pile up several layers of memory arrays such as the one on Figure , on top of a CMOS level that would contain the memory controller logic. Very high storage capacities could then be achieved on a single chip. A second important point from the IBM demonstration is the short read/write cycle time, only 5ns, already well below even DRAM and comparable only to SRAM. On the contrary, the cell size was quite high, and the high value of the writing currents did not leave much hope to reach high densities. Furthermore, it was easy to show that the reliability window of the 95 /138

96 simple writing process described above was very small from the beginning due to in particular cross talk between adjacent cells and field creation lines, and would rapidly vanish at higher density or defect induced writing field distribution, rapidly increasing the program error rate. A convenient solution to this last problem was rapidly found by Freescale researchers, who proposed to replace the ferromagnetic free layer by a synthetic antiferromagnet (SAF) layer (two ferromagnetic layers whose magnetizations are kept antiparallel by exchange coupling through a non magnetic spacer layer, usually Ru). The SAF layer can then be written by a spin-flop based toggle mode that proved to be very reliable. A 4Mb demo was announced in September 2003 [Engel, B. N. et al., IEEE Transactions on Magnetics 41, 132 (2005)], and the first MRAM product (4 Mbit standalone memory) was commercialized by Freescale in 2006, and elected Product of the year by the Electronics Products Magazine in January In the meantime, Freescale, ST Microelectronics and NXP developed a 90nm technology of standalone and embedded toggle-mram within the Crolles 2 alliance, now over. But, if reliability was there, with still interesting speed (read write cycle ~30ns), the write current were slightly increased, preventing to reach the high density that would be necessary not to be restricted to niche applications. Fortunately, many technological breakthroughs have been obtained in the last 5 years, that may completely change this picture: in Sept. 2004, two groups published simultaneously the fabrication of single crystal MgO tunnel junctions showing tunnel magnetoresistances above 200% [Parkin, S. S. P. et al., Nat Mater 3 (12), 862 (2004); Yuasa, S. et al., Nat Mater 3 (12), 868 (2004)]. One of the resusts was obtained in collaboration with the deposition equipment manufacturer ANELVA, who immediately developed a commercial tool. The record magnetoresistance for MgO tunnel barriers was further increased to more than 500% at room temperature (>1000% at 5K), near the calculated limit for this material [Lee, Y. M. et al., Appl. Phys. Lett. 90 (21), (2007)]. Further increase will require new developments in material studies, such as half metallic ferromagnetic metals (with 100% spin polarization), or new devices such as spin filters. In the spin filter for instance, the non magnetic insulating barrier I is replaced by a ferromagnetic barrier where barrier height depends on the spin orientation of the tunnelling electron, promising orders of magnitude in tunnel magnetoresistance. Promising results have been obtained, mostly by European laboratories, but the devices are not yet operational at room temperature. Already, commercial equipments can reliably make MgO tunnel barriers 96 /138

97 with 200% or more tunnel magnetoresistance, with well controlled uniformity on large wafers, and reasonably weak resistance area products. This should allow fast error free reading of MRAM down to very low sizes, and indeed 50nm MRAM pillars have already been made with good performances. current induced magnetization switching (CIMS), theoretically proposed in 1996 simultaneously by J. Slonczewski et L. Berger, use the exchange interaction between the magnetization and the spin of the conduction electrons injected through the MTJ to replace the applied magnetic field for orientating the magnetic free layer. Writing 0 or 1 is then made by current pulses of opposite polarity sent through the tunnel junction. This so-called "spin transfer" process has been demonstrated in 2000 on Co/Cu/Co pillars, and more recently on MgO based tunnel junctions, with furthermore a reasonable current density of the order of a few 10 6 A/cm 2 [Hayakawa, J. et al., Jpn. J. Appl. Phys. 45 (40), L1057 (2006)], mostly compatible with the performances of CMOS transistors. Sub-ns speed were also recently demonstrated. And demonstrations of Spin-RAM were readily published by SONY [Hosomi, M. et al., Electron Devices Meeting IEDM 05 Technical Digest, IEEE International, 2005] and HITACHI [Kawahara, T. et al., International Solid-State Circuits Conference ISSCC Technical Digest, 2007], with very encouraging performances. thermally assisted switching (TAS) is derived from heat assisted recording in MO storage (or hard disk in the future). It uses Joule heating from a current injected through the MTJ to lower the F1 layer magnetic anisotropy during the writing process, which thus requires smaller magnetic fields. A first demonstrator was published rapidly by Infineon, with furthermore a technique to obtain 2 bits per cell [Leuschner, R. et al., Electron Devices Meeting IEDM'06 Technical Digest, IEEE International, 2006.]. The spin transfer switching effect is a complete change of paradigm, which allows to write a magnetic element by sending a given current density through it: this is in principle down scalable to very low cross sections, with no cross talk. The Spin RAM cell becomes also much simpler, as can be seen on Figure A, with still one transistor per cell but no field creation line. The TAS-RAM cell still requires a field creation line (Fig B), but the main process for writing is also a current density sent through the cell to rise its temperature, hence it should also be scalable and free of cross-talk. 97 /138

98 Figure : Compared cell structures of (A) spin transfert RAM and (B) TAS-RAM. It is difficult to say now which technology, Spin-RAM or TAS-RAM, will achieve product first. On the long term, the Spin RAM shows a higher potential for high densities, but ultimately both spin transfer and TAS processes will be coupled, heating the cell while orienting the magnetization of the free layer with the spin transfer affect, in order to minimize the energy cost and writing current. However, there does seem to be currently a lot of excitement about the potential of STT- RAM, primarily because: The possibility that STT-RAM might provide the elusive universal memory that researchers have been looking for for many years (so potentially replacing SRAM, DRAM and Flash), along with the fact that it may be suitable for allowing a closer integration of logic and memory (so leading to new and more energy efficient sub-system architectures and new forms 98 /138

99 of embedded memory/logic), has led to much activity worldwide in the past few years. Some of these developments are shown below: The role of Europe EU research has done some major contributions to this field, both from academic laboratories and from industry. For instance, the TAS-RAM process has been patented by European researchers (CEA Grenoble), which has led to the creation of the start-up CROCUS in May 2006, now developing the TAS-RAM technology. Many other patents are own by EU researchers or companies. On another level, the equipment manufacturer Singulus has state of the art performances for deposition of tunnel junction stacks with low resistance for Spin- RAM and TAS-RAM. EU research centres and laboratories are still among the best in the world on fundamental research, but unfortunately the industry position is less clear with the end of the ST Micrelectronics/Freescale/NXP in 2005, and the end of the MRAM development in Altis Semiconductors in Infineon is still working on this field, but probably again with IBM. Several start-ups have been created throughout Europe, to provide innovative developments in parallel with the R&D efforts in major companies (for instance SPINTRON and CROCUS in France). 99 /138

100 Research opportunities As always when emerging technologies come to production, research opportunities are everywhere. And, as seldom in Europe, research power and production potential are there too, as EU semiconductor industries could be attracted back to MRAM in case of important development. Indeed, only a very strong EU research effort of all partners will be able to create and retain enough innovation to motivate industrial developments in Europe, facing the strong development of Asian companies. Moreover, if research effort is fragmented all over Europe, a long history of Research and Training Networks and Research Projects, in nanomagnetism, magnetoelectronic sensors, magnetic storage, etc., has already established many strong links between all partners. In that case, STREPs on the most advanced aspects of MRAM and related technologies would be well adapted in a first stage, before trying to develop a bigger organization, such as IP, to face a new stage of development towards industry after development of solutions. 100 /138

101 Emerging technologies 3.4 Emerging technologies for mass data storage Scanning probe-based storage Scanning-probe write and read processes The field of scanning probe microscopy (SPM) has developed tremendously since the invention of the Scanning Tunnelling Microscope back in the 1980s. It is now practicable, for example, to use SPM technology to modify the surfaces of materials on the nanoscale, rather than just for microscopic imaging. Such surface modification might comprise the writing and reading of data, so providing a storage system with, ultimately, atomic resolution. Indeed, such atomic resolution was demonstrated in 1990 by Eigler and Schweizer 2, who placed individual Xe atoms on single-crystal nickel substrate to spell out the IBM logo (atoms were on a regular grid 1.4 x 1.25 nm corresponding to the surface potential of Ni and gave an equivalent areal storage density of ~ 350 Tbits/sq.in.). However this approach, and similar atomic-level storage demonstrations by others, is exceedingly slow and from a system perspective has a non-viable, ultra-low data rate. The probe storage field was of course given huge impetus by the impressive work at IBM (Zurich) into the Millipede system 3. The Millipede concept is based on a thermo-mechanical writing process. A 2-D array of sharp silicon tips held in place by cantilevers is in contact with a polymer-based medium. To write bits, tips are heated above the polymer s glass transition temperature and indent the media to form nanoscale pits. Reading is made through detection of the cantilever equilibrium temperature, which depends on whether the tip is in a written pit or not (since the thermal diffusion between the cantilever and the substrate is different depending on whether the tip is in a pit or not). Although the tip/cantilever is heated during readout (to accentuate equilibrium temperature differences), the tip is insufficiently warm so as not to re-write to the medium. 2 Nature, 344, p524, The Millipede Nanotechnology Entering Data Storage, P. Vettiger et al, IEEE Transactions on Nanotechnology, 1, 39 (2002). 101 /138

102 Emerging technologies Densities up to and beyond 4 Tbit/sq.in have recently been successfully written 4 and read using these thermo-mechanical write/read processes, shown in Figs and Write and read speeds, which only a few years ago were relatively low, typically of the order of around 1kbit/second/tip, have also been boosted tremendously very recently, due in part to Fig The Millipede write (top) and read (middle) processes and (bottom) schematic of the Millipede 2-D tip array ( 4 D Wiesman et al, Nanoletters, 9, 3171 (2009) 102 /138

103 Emerging technologies progress as part of the EU FP6 project ProTeM ( such that speeds of around 1 Mbit/second/tip are now feasible 5 (at least for writing - see Fig ). This increase in write speeds of by a factor of 1000 per tip means that acceptable data rates are likely to be achieved when 2-D tip arrays are used along with parallel write/read to multiple tips (i.e. to hundreds or even thousands of tips simultaneously). This opens up possibilities for probe storage to much wider field that originally thought likely (e.g. to provide miniature back-up storage systems, or even high-capacity, low-power archival stores). Fig (top) Bits written in a polymer medium at a density of 4 Tbits/sq.in by the IBM Millipede system (see D. Wiesmann et al, Nanoletters, 9, 3171 (2009)) and (bottom) written at a data rate of 1Mbit/second as part of the ProTeM project (see R. J. Cannara et al, Nanotechnology 19, (2008)) The Millipede and ProTeM results using polymer media and thermo-mechanical write/read are certainly impressive and the IBM Zurich team is probably closer than anyone else, at the present time, to the realisation of a complete probe storage system (system aspects will be discussed in a little more detail in the next section). In an interesting and potentially very useful related development the IBM team have used probe technology to perform very precise 3-dimensional patterning on the nanoscale. In this approach, reported in the journal Science 6 rather than forming an indentation by thermo-mechanical means, 3-D patterning is achieved 5 R. Cannara et al, Nanotechnology 19, (2008) 6 D Pires et al, Science, 328, 732 (2010) 103 /138

104 Emerging technologies by the local desorption of a glassy organic resist by a heated probe. An example of the power of this technique is shown in Fig , where a 80 nm scale model of the Matterhorn was fabricated! Fig A 80 nm high scale model of the Matterhorn fabricated using a new probe-based 3- D nanolithography technique developed by IBM Zurich (see D Pires et al, Science, 328, 732 (2010) One possible drawback of the thermo-mechanical writing approach however is the requirement for heating of the entire tip volume (typically of the order of cubic micrometres) to write a bit of nanometric size. This invariably means that power consumption is not as low as it might be. Furthermore, since the written pit is essentially a replica of the end of the tip, the bit resolution in this approach is linked strongly to the tip s sharpness high storage densities require very sharp tips. Unfortunately sharp tips might be expected to wear out quickly when scanning in contact with the storage medium. Indeed, since in a practicable probe storage system a probe tip may have to endure several kilometres of scanning, the use of specialised sharp tips used in AFM applications (such as carbon-nanotube tips etc) is not really feasible. Fortunately there has also been much recent progress in the development of ultra-sharp, wear-resistant tips, again by the IBM team in Zurich. A new process to produce moulded DLC tips on Si cantilevers had been developed and shown to yield tips with sub- 10nm contact radius and wear properties orders of magnitude better than microfabricated Si tips - see Fig and H Bhaskaran et al 7. The wear mechanism in such moulded DLC tips 7 H Bhaskaran et al, Nature Nanotechnology, 5, 181 (2010) 104 /138

105 Emerging technologies appears to be an atom by atom attrition mechanism, as opposed to a conventional wear process. Fig Moulded DLC tips that combine sharp tips with ultra-low wear characteristics (see H Bhaskaran et al, Nature Nanotechnology, 5, 181 (2010) A variation on the thermo-mechanical probe storage approach of IBM was investigated by Samsung/LG laboratories 8, and by researchers at Shanghai Institute of Microsystems, who proposed a piezoelectric readout method that offered lower readout power consumption than the Millipede system. However, the writing method is identical to IBMs approach. Piezoelectric readout may however offer however a viable route to improving read speeds compared to thermo-mechanical readout currently used in Millipede. A group of researchers from Pohang University and LG Electronics in Korea has recently demonstrated a non-thermal writing mechanism in polymer media that is suitable for high density (1 Tbit/sq.in. and above) storage. In their approach an ultra-sharp AFM tip causes microphase transitions in a polystyrene-block-poly(n-pentyl methacrylate) block copolymer to occur at room temperature by application of pressure alone 9 ; readout was via the piezoelectric sensors integrated into the cantilever -see Fig While the writing of nanoscale indents without heat is a most noteworthy achievement, relatively large write forces are needed along 8 Microcantilevers integrated with heaters and piezoelectric detectors for nano data-storage application, C S Lee et al., Applied Physics Letters 83, 8 Dec A. Jo et al, Nature Nanotechnology, 4, 727 (2009) 105 /138

106 Emerging technologies with sharp tips. This might make the longevity of a practical system based around this approach questionable. Fig Nanoscale indents written in a baroplastic co-polymer without the use of heat; (left) shows order-disorder transition and (right) shows indents written at 1Tbit/sq.in (see A Jo et al, Nature Nanotechnology, 4, 727 (2009) Probe storage based around magnetic storage media has been investigated by various research groups in the recent past, for example at Carnegie Mellon University 10. As for hard disk recording, the density of magnetic-based probe storage is limited by the super-paramagnetic effect. Writing can be achieved by applying a magnetic field, possibly assisted by heating of some kind Read-out can be performed by force-mode, as used in a Magnetic Force Microscope. This however requires a compliant cantilever and a sensitive force sensor, complicating the array design. Another option for read-out of magnetic bits is to use the magneto-resistance effect, as in a hard disk readout head. This solution is power-hungry and complex (in its adaptation to a form suitable for probe storage). The future prospects for probe storage using magnetic media therefore suffer from having to overcome two hurdles the super-paramagnetic limit that also faces hard disk recording, and the many technical issues (microfabrication, system integration etc) that face storage systems based around 2-D probe arrays. As a result magnetic probe-based storage is not currently seen as a particularly 10 Single-chip computers with microelectromechanical systems-based magnetic memory, L. R. Carley et al, Journal of Applied Physics 87 (9) p (2000) 11 Dependence of thermomagnetic mark size on applied STM voltage in Co-Pt multilayers Li Zhang, James A. Bain, J.-G. Zhu. IEEE Transactions on Magnetics, v 38, , (2002) 12 Thermally assisted recording beyond traditional limits, H F Hamman et al, Appl Phys Lett, 84, /138

107 Emerging technologies attractive route to future storage system development. However, a very recent development in which magnetic states could be switched without the need for a magnetic field by using spinpolarised current injection from an STM tip 13 might herald the way forward for 'field-less' magnetic recording (i.e. with writing by spin-torque effects and reading by GMR/TMR effects). This is an intriguing, but as yet very early stage, possibility. The electric counterpart of magnetic recording - ferroelectric storage - has been investigated for decades, particularly in the solid-state memory sector. Indeed, ferrolectric RAM (FeRAM) is currently available commercially and has found niche application markets. It is thus no surprise that ferroelectric probe storage has been recently studied by a number of academic and industrial groups around the world (including Seagate, Samsung, Pioneer, University of Kyoto, University of Tohoku among others) In ferroelectric storage binary data is stored as the direction (usually up or down) of electric polarization in the medium (analogous to magnetization in a magnetic medium). Switching between these two states, i.e. writing, is achieved by applying an electric field from a sharp (usually electrically conducting) tip see Fig The field polarity is reversed by reversing the voltage applied between the tip and the medium. Thus, the ferroelectric writing process is relatively straightforward and has many similarities to that used in conventional magnetic recording so many of the issues of write head (probe) fabrication in the ferroelectric case may benefit from the decades of knowledge in head design and fabrication built up in the magnetic recording industry. Since contact writing can be used, very high densities can be obtained. Indeed 10 Tbit/sq.in. has already been demonstrated by researchers at the University of Tohoku 18 - see Fig Ferroelectric probe storage has many attractions. Achievable bit densities appear to be high (1 to 10 Tbit/sq.in. already achieved). Theoretically ferroelectric storage should exhibit an 13 S. Krause et al, Science, 317, 1537 (2009) 14 M G Forrester et al, Nanotechnology, 20, (2009) 15 H Takahashi et al, Nanotechnology, 20, (2009) 16 J Kim et al, JJAP, 47, 1717 (2008) 17 Terabit inch 2 ferroelectric data storage using scanning nonlinear dielectric microscopy nanodomain engineering system, Y. Cho1, K Fujimoto, Y. Hiranaga, Y. Wagatsuma, A. Onoe, K Terabe and K. Kitamura, Nanotechnology 14, 637 (2003). 18 see /138

108 Emerging technologies analogous effect to the super-paramagnetic limit of magnetic storage. However, the energy density of typical ferroelectric media is an order of magnitude larger than that of typical Fig Ferroelectric bits stored as up/down polarization states (left) and writing bits (switching polarization) using the electric field from a conducting probe magnetic storage media used today, and so the onset of unwanted switching due to ambient thermal energy in ferroelectric media occurs at a much higher density. Since very high electric fields can be produced easily, it is also easy to switch ferroelectric media with high energy densities. This is not the case in magnetic storage where the production of very high magnetic fields needed to switch very high energy magnetic media is limited by the saturation magnetization of the writing head (hence the interest in heat-assisted magnetic recording). (a) (b) (c) Fig Bits written into a ferroelectric media using scanned probe techniques: (a) bits as a function of probe-sample bias and voltage pulse duration; (b) bits written into LiTaO 3 at 10 Tbit/sq.in. density; (c) unit cell of BaTiO 3 which is approximately 0.4nm x 0.4nm in cross section if the electric dipole moments in such an individual unit cell could be switched a density of 4 Pbits/sq.in would be achievable. See /138

109 Emerging technologies From a writing perspective then ferroelectric storage has many attractions. However, it does have a major drawback in so much as there is currently no obvious simple way to perform non-destructive readout. A variety of readout methods are potentially possible. For example, piezoelectric readout, as commonly used in piezoelectric force microscopy (PFM), is possible since ferroelectric materials usually exhibit a piezoelectric response. In PFM, a conducting probe biased with an AC and DC voltages is brought into contact with the sample surface and the electromechamical (piezoelectric) response of the sample, which depends on the local polarization state, is detected as the 1 st harmonic of the resulting tip deflection 19. A second alternative to readout is based on another form of scanning microscopy scanning nonlinear dielectric microscopy (SNDM). SNDM detects the sign of the nonlinear dielectric constant of the sample, and this depends on the polarization direction (as opposed to the linear dielectric constant whose sign is independent of polarization direction). Changes in the nonlinear dielectric constant lead to changes in the probe-sample capacitance, and in SNDM this is detected using an LC resonator approach 8,20,14. Although PFM and SNDM techniques offer non-destructive readout, both rely on AC excitation of the tip and detection of harmonic components (often using lock-in detection). Such methods are not attractive for integration into a 2-D tip array with many tips operating in parallel. Thus, the currently favoured approach to readout in ferrolectric probe storage is based on that used in ferroelectric random access memories namely the detection of the displacement current induced when a domain is switched 13. This is however a destructive readout method, since the polarization must be switched in order to induce a displacement current. Since readout is destructive the original bit pattern must be restored post readout. This is an additional but necessary complication of the displacement current technique. Further research into new, non-destructive readout approaches is therefore very welcome. Another category of probe storage might be termed 'electrical current probe storage'. From a generic point of view, electrical current probe storage might be viewed as using an electrical potential applied to a probe that is in contact (or quasi contact) with a medium whose 19 S V Kalinin et al, Nanotechnology, 17, , J Hiranaga, K Tanaka and Y Cho, Ferroelectrics, 333, , /138

110 Emerging technologies properties are altered in some way by the resulting flow of electrical current through the medium toward a counter electrode. The change in medium properties should be electrically detectable, e.g. by a change in electrical resistance. Several groups worldwide are pursuing such an electrically-based approach. Indeed, as part of an EU FP5 funded project (InProM) and its follow-on FP6 project ProTeM (see researchers at CEA Grenoble (in collaboration with the Universities of Exeter, RWTH-Aachen, IBM Zurich, Plarion Ltd and Numonyx Italy) have developed a type of scanning probe storage that relies on an electro-thermal recording process in a phase-change material to provide an ultra-low power (<<1W), ultra-high density (1Tbit/sq.in. and beyond), ultra-compact storage system 8. Figure shows the basic record and readout mechanism of the InProM system. Experimentally recorded 20nm bits in a GeSbTe medium are also shown. Electrical probe recording as a generic approach has several attractions, in particular: 1) The power consumption for the writing process is low with respect to thermomechanical technologies (< 100 pj per written bit). This is because only the bit volume, as opposed to the entire tip volume, is heated. 2) The spatial resolution obtained with electrical probe memory could be expected higher than in the thermal or magnetic approaches. This is due to a self-focusing effect of the current lines linked to the non-linear thermal and electrical responses of the media. 3) Considering that the current is obviously passing through the Hertzian contact area between tip and media, the tip/media contact area could be very small (for hard materials) even if the tips themselves are not necessarily sharp. Indeed, the tip shape could be much smoother than required by other probe storage modes, perhaps alleviating tip tribology and wear issues. Indeed, point (3) above has been recently demonstrated by IBM Zurich by the fabrication of so-called encapsulated PtSi tips for electrical probe storage. These tips have a relatively small electrical contact area composed of a high conductivity (and hard wearing) PtSi alloy, surrounded by a non-conducting SiO 2 'encapsulation'. Such tips exhibit extremely good electrical contact with phase-change media, far superior to silicon tips for example, as well as 21 S Gidon et al, Applied Physics Letters, 85, 6392, C D Wright et al, IEEE Trans Nanotech, 5, 50, /138

111 Emerging technologies extremely good mechanical wear charcteristics. The concept is depicted in Fig and described in more detail by Bhaskaran et al 23 low readout current high writing current scanning tip scanning tip PC media PC media heated area (Joule effect) readout signal readout contrast based on: amorphous crystalline 10 4 a) Writing process b) Readout process Fig Schematic of the electro-thermal scanning probe storage system using phase-change media. High writing current induces crystallisation by Joule heating (top left). Readout process uses much lower current to sense the different electrical conductivities of amorphous and crystalline phases (top right) 1 m Experimentally achieved 20nm crystalline bits (left) written into an amorphous GeSbTe layer. In this image each mark is spaced 100nm from the next, leading to a storage density of 300 Gbits/sq.in. Reducing mark spacing to 40nm (i.e. 20nm marks with 20nm spaces ) would yield a density of 1.5 Tbit/sq.in. Image courtesy of Serge Gidon, Olivier Bichet and Yves Samson, LETI-CEA Many other examples of scanning probe-based storage abound, and are too numerous to mention in detail. Some notable approaches include charge storage in a nitride-oxide-silicon medium with a scanning capacitance microscope 24, anodic oxidation of titanium with an AFM probe 25, an AFM-based memory with polyimide Langmuir-Blodgett films 26, patterning of magnetic and organic films by Dip-Pen nanolithography 27. Indeed, researchers have 23 H Bhaskaran et al, Nanotechnology, 20, (2009) 24 Barrett and Quate, J Appl Phys, 70, 2725, Cooper et al, Appl Phys Lett, 75, 3566, Yano and Ikeda, Appl Phys Lett, 80, 1067, Ginger et al, Angew. Chem. Int Ed, 43, 30-45, /138

112 Emerging technologies studied a wide range of electrical, magnetic, thermal and mechanical effects (and combinations of these), in a wide range of media types (polymer, phase-change, ferroelectric, semiconductor, magnetic). Fig Encapsulated PtSi tips designed and fabricated by IBM Zurich (see H Bhaskaran et al, Nanotechnology 20, (2009)) Scanning-probe systems aspects and application areas High levels of interest in scanned probe storage, from commercial and academic researchers alike, began around 10 years ago in response to the burgeoning mobile devices sector. In the early 2000s manufacturers were saying 'give us a 100GB memory and we will use it now'. Flash memory, at the time, could not supply such capacities, at least not at the cost-point required for the consumer market, and probe storage seemed to offer a viable way forward. Thus, researchers had in mind scanning probe memories with features along the lines shown in Fig by this year (2010), with performances by around 2016 as also shown in Fig It was then thought that Flash memories would face technical and cost difficulties in delivering similar performances. However, the rapid technical developments in Flash memory technology (i.e. the rapid and continuing move to smaller nodes on the ITRS roadmap), coupled with rapid cost/price reductions mean that Flash memory remains the technology of choice for most mobile applications - in particular and importantly the mobile phone market. Indeed, 256GB Flash memory sticks are already available, and 500GB Flash drives are planned for release by Samsung in The immediate and near-term application 112 /138

113 Emerging technologies of probe memory technology to the mobile memory sector therefore seems unlikely. Indeed, many of the major electronics companies who were researching probe storage over the past 5-10 years have now stopped or scaled-back their efforts (e.g. Samsung, Seagate, Pioneer, ST Microelectronics, Intel), while small start-ups concentrating on probe storage (e.g. Nanochip in the USA) have folded. Specification First Generation Future Generation (2010) (2016) Capacity (GByte) System Data Rate (Mbit/s) Peak power (mw) < 500 < 200 Stand by power per tip (nw) 10 1 Density (Tbit/sq.in.) Tip array size 32x32 64x64 Fig : Predicted probe memory system parameters for commercial mobile applications - as envisaged in the early 2000s In the longer term however we might envisage an alternative route for probe storage development. In addition to work continuing, if at a reduced level compared to the previous 10 years, on very high density ultra-compact formats (chip component type), we might also expect R&D on probe storage for ultra-high capacity, low power memories for mass information archiving in larger formats. The probe storage roadmap might therefore have two essential routes for the future, as shown in Fig The first route, high density in an ultra-compact format, is the one that has been the past focus of most researchers, driven by the needs of the mobile storage market as described previously. The second route, that of providing ultra-high capacity in a larger format, arises from the well known evolution of demand for data archiving (video, collective database, telecommunication systems, ). It may be that probe storage can offer a viable route to providing small, high-capacity, low-power 113 /138

114 Emerging technologies digital archiving for a wide variety of sectors such as large-scale administrations, financial institutions, health systems, accounting and legal departments, engineering and design offices, Fig : High density and high capacity routes for probe storage publishing houses - even perhaps to provide home/personal digital archives. Digital archiving is becoming increasingly important as a result of both legislative changes and the rapid migration from hardcopy to electronic formats in all environments (image storage, photography, video/film, paper documentation, audio etc etc). More and more regulations are being put in place to define the length of record retention and a method of data storage that ensures electronic records are authentic and quickly accessible. There are many examples of country specific regulations that address the storage of legal records, as well as, health and safety related operations. Global regulations like SEC 17a-4, Sarbanes-Oxley and Basel II will have a direct impact on businesses with international operations. The necessity to comply with data archive regulations is forcing many companies to develop more comprehensive archival storage strategies. Similarly, as more and more data moves to digital format, the everyday user is concerned as to the long-term protection and preservation of his or her data. Furthermore, as a society as a whole we should be concerned about the 114 /138

115 Emerging technologies preservation of our cultural heritage in the digital age. Clearly then digital archiving is a very important topic, and the time is ripe for research and development of new archival storage technologies. Probe storage has the potential to provide huge capacities in a very small footprint. If this can be combined with a guarantee for media and system operating lifetimes, then probe storage may provide an attractive route to providing future archival stores. Backup storage, often mistakenly confused with archival storage, typically provides high-capacity stores with higher data rate than archival stores but without the requirement for guaranteed extended media/system lifetimes. The EC FP6 project - ProTeM, or Probe-based Terabyte Memories - is aiming is to develop probe storage technology for archiving and back-up storage applications. Of course applying probe storage technology to archiving and back-up sectors brings new technical challenges, particularly in terms of tip and media longevity. However, recent developments in ultra-low wear tips (such as shown in Figs and Fig above) is encouraging, while the lifetime of data recorded into both polymer media and phase-change media can be extended to the year range required for archival systems. A putative probe system specification for archival applications is shown in Fig The overall capacity of 200 to 100 TB implies a multiple medium format, while the required lifetimes of up to 50 years imply very stringent media and tip lifetimes. It should be noted that the overall system power requirements are very low - at around 300W; while this is just an estimate of what might be possible, it is orders of magnitude lower than might be achieved by a similarly sized HDD system for example. This points to another potential long-term application of probe-storage, that of an alternative to power-hungry HDD server 'farms' currently used by web search companies etc. However, much work still needs to be done before probe storage systems can hope to match the data rates achieved by HDDs. Several other potential application areas for probe storage have been investigated over the last ten years. Mobile and archival storage have already been mentioned above. Other possible areas include cache memories and raid stores. 115 /138

116 Emerging technologies Specifications from a user perspective System Specifications min max Units/comment System Capacity TB Transactions per day read per 24 hours write per 24 hours streaming data rate write MB/sec read MB/sec worst case access write 1 10 secs read 1 10 Typical file size for transfer 2KB 100MB Random access within one media unit 1 second number of concurrent read users number of concurrent write users 1 10 Power consumption 300 watts "Spin-up" time 5 seconds Media Level Specification min max Units/comment Media unit capacity TB Media read cycles read 10 6 over media life media life years (at 50C) Sector size 8 k RW cyclability 10,000 overwrites Operating temperature range C Fig Possible specifications of a probe storage system for archival applications Cache memories 28,29 : Although probe memories are unlikely to be competitive with the access time of specifically design cache technologies (SRAM, DRAM, MRAM, ), specific probe memories can have a competitive access time compared to magnetic hard drives (down to <1 ms, whereas state-of-the-art hard disks, turning at RPM, reach 3 ms and are bulky) while at the same time being much less expensive than cache memories. This low-cost intermediary access time performance, coupled with huge data capacity, can greatly profit mass storage under heavy traffic. Applications might therefore be found in areas such as the cases of massive server storage for online or enterprise use, or grid computing (supercomputers, parallel clusters etc). However, this sector is also now being filled by solid- 28 Exploring the Usage of MEMS-based Storage as Metadata Storage and Disk Cache in Storage Hierarchy, Bo Hong, 2 nd USENIX Conference on File and Storage Technologies (April 2003). 29 Using MEMS Device as Disk Write Buffer, Feng Wang, Scott Brandt, 2 nd USENIX Conference on File and Storage Technologies (April 2003). 116 /138

117 Emerging technologies state drives, which are already production systems rather than, like probe storage, in the basic research stage. Thus, the opportunity for probe storage in this area seem limited at the moment. Raid architectures 30,31 : Another possible architecture using probe storage would be to realise a RAID array of probe recording modules. One could mount tens of probe chips in the volume of a 3.5 inch HDD casing. Probe recording already has the option for a very short access time, in a RAID geometry the performance could even be enhanced. Such a module might be very desirable in server applications. Probe-based RAID arrays would use significantly less power than their HDD-based counterparts Nanoscale thermal memories In an interesting development from probe memories, a group at IBM in the USA demonstrated in 2006 an all-thermal memory using phase-change materials and nanoscale thermal heaters/detectors 32. The IBM Almaden team used a solid-state laser to heat and AFM tip to a temperature sufficiently high to crystallise an amorphous GeSbTe thin films. By this method bits could be written at densities as high as 3.3 Tbit/sq.in. (but not erased since the thermal time constant of the AFM tip is too long for re-amorphization). IBM investigated the use of thermal detection, similar to used in the Millipede approach, for the readout of recorded bits. However, in this case the thermal detector was not integrated into the tip/cantilever itself, but rather took the form of a lithographically fabricated nanoscale resistive heater in contact with the GeSbTe layer - heat dissipation depends on phase of GeSbTe layer so the heater can also work as a form of resistance thermometer to detect the phase of the GeSbTe layer directly above it. Finally, the researchers dispensed with the probe altogether, using the nanoscale heater to both write and read bits (see Fig ). By applying an appropriate pulsed current to the nanoheater the GeSbTe layer can be amorphized (bits written) or 30 Using MEMS-based storage in disk arrays, Mustafa Uysal, Arif Merchant, Guillermo A. Alvarez, FAST 03: 2 nd USENIX Conference on File and Storage Technologies (April 2003). 31 D. Patterson, G. Gibson, R. Katz, A case for redundant arrays of inexpensive disks (RAID), in: Proceedings of the ACM SIGMOD, International Conference on Management of Data, 1988, pp H K Hamann et al, Nature Materials, doi: /nmat1627, April /138

118 Emerging technologies crystallized (bits erased), the phase of the film being read by sensing, at lower currents, the thermal resistance of the nanoheater. Over one hundred write-read-erase cycles were achieved in such a manner. A potential disadvantage of this all-thermal nanoheater approach is of course that achievable areal density is limited by lithographic resolution since the heaters themselves are lithographically fabricated. The heater construction is however rather simple as compared to the structures required for electronic solid state memories, and this may impart significant advantages. Nevertheless, the nanoheater approach does not have the previously mentioned intrinsic attraction of probe-storage whose achievable storage density is not per-se lithographically dominated. However, there does not seem to have been any push to commercialise this type of memory since its demonstration nearly 5 years ago. Fig IBM s all-thermal write/read approach showing a schematics of the nanoheater (left) and integration with the phase-change GeSbTe storage medium (right) Biologically-inspired data storage Biologically-inspired computing and associated technology has generated much interest in recent years. Naturally the interest is using biologically-derived mechanisms for data storage applications is also growing. A common theme in this area is the use of DNA sequences for storage. An interesting example is that suggested by Mansuripur in the USA 33. Mansuripur has from the outset considered DNA storage from a systems perspective, with proper regard to methods that may be practicably implemented. In Mansuipur s approach, sequences of G, C, A, and T bases are added to a growing DNA molecule (see Fig ), with binary or 33 M. Mansuripur, SPIE Proc, 4342, P Khulbe et al, J Appl Phys, 97, , /138

119 Emerging technologies other data encoded in the order of such nucleotides (a quaternary data scheme might match best to this basic quaternary system). Data may be read by an electrophoretic mechanism, where DNA molecules are brought from a 'parking' station on a chip to a nanopore through which they pass, thereby modifying an electrical current flowing across the pore. Writing occurs by chemical attachment of an appropriate base (G,C,A, or T) by chemical attachment from a reservoir. Although such schemes are potentially attractive and hold the promise of very high storage capacities, at present the processes for writing, reading and transport of sequences around an appropriate chip architecture are far from reliable, lacking suitable sensitivity, selectivity and speed. However, techniques are likely to benefit from the huge research effort in the related general area of DNA sequencing and analysis. Nevertheless, it is not expected that such approaches will have any serious commercial application except perhaps in the longer time scale ( > 15 years). Figure : A possible DNA storage scheme showing write (top left), read (top right) and chip layout (bottom) 119 /138

120 Emerging technologies Spintronics : mixing spin and semiconductors At the fringe of mass data storage and electronics, spintronics emerges as a new science with high potential implications for both memory and computing applications. Here we are not concerned with the already successful magnetoelectronics applications based on Giant Magnetoresistance or Tunnel Magnetoresistance phenomena (as discussed in section 3.3) but with the idea of creating and controlling spin polarized currents in semiconductors. Such spindependent electronics or spintronics - that may use spin-polarised electrodes (retaining magnetisation at room temperature) exhibits radically new capabilities, such as reconfigurable logic devices, and, in the long term, possibly, quantum computers. Many initiatives are currently underway around the world to develop research ideas in this new area of spintronics (USA 34 Asia), often with the support of major industrial actors. It is certainly necessary to enlarge EU effort in this area to build upon a strong European position, in an area where the quality of the European academic research (in both semiconductors and magnetism) can rapidly translate in a competitive advantage Graphene - a new material and new opportunities Graphene 35,36 is a flat monolayer of carbon atoms tightly packed into a two-dimensional (2D) honeycomb lattice and can be thought of as the basic building block for all other graphitic materials, such as fullerenes, nanotubes and conventional graphite. Graphene exhibits remakable electronic (and thermal and physical) properties. It is unusual because its carriers mimic relativistic particles and are more easily and naturally described starting with the Dirac equation rather than the Schrodinger equation describes the behaviour of most other materials. From an electronics perspective in general, graphene is of much interest because it 34 Recently, four major US universities (Stanford, University of California Berkeley, University of California Santa Barbara, UCLA School of Engineering) and six leading industrials (Intel Corp., IBM, Texas Instruments, Advanced Micro Devices Inc., Freescale Semiconductor Inc. and Micron Technology Inc.) launched a common research programme around spintronics (WIN programme). 35 Novoselov, K. S. et al. Science 306, (2004). 36 A K Geim and K Novoselov, Nature Materials, 6, (2007) 120 /138

121 Emerging technologies has very high mobility carriers; μ can exceed 15,000 cm 2 V -1 s -1 even under ambient conditions. Furthermore, graphene shows an ambipolar electric field effect such that charge carriers can be tuned continuously between electrons and holes. These properties have led to a huge research effort worldwide in the development of new and improved electronic devices based on graphene. Some of the most notable recent achievements include a graphene FET capable of operating at 100 GHz developed by IBM 37 and a graphene-based transparent touch-screen 38. Of course here we are interested in memory applications of graphene. There have been many suggestions and alternatives for using graphene in non-volatile memory configurations, ranging from including graphene layers into more conventional memory structures, e.g. by using it as a charge trapping layer in 'conventional' TANOS memory device 39, to entirely new device configurations. A particular theme that has been investigated quite extensively in the past couple of years is the combination of graphene and ferroelectric materials to provide non-volatile memory operation. For example few-layer graphene (FLG) field-effect devices have been used in combination with a ferroelectric polymer layer of poly(vinylidene fluoride/trifluoroethylene) [P(VDF/TrFE)] to produce FLG/ferroelectric devices with a non-volatile resistance changes due to a polarization switching of the P(VDF/TrFE) layer 40. In similar vein Zheng et al 41 demonstrated a nonvolatile memory device in a graphene field-effect-transistor structure using ferroelectric gating. The binary information, i.e., 1 and 0, was represented by the high and low resistance states of the graphene channels switched by controlling the polarization of the ferroelectric thin film using gate voltage sweep. A nonvolatile resistance change exceeding 200% was achieved. The device structure is shown in Fig Y M Lin et al, Science, 327, , H Ahn et al, Nature Nanotechnology, 2010, DOI: /NNANO S Wang et al, APL, 96, (2010) 40 Y-J Doh et al, Nanotechnology 21 (2010) Y Zheng et al APL 94, (2009) 121 /138

122 Emerging technologies Fig Schematic of graphene-ferrolectric non-volatile memory device 40 In very recent work 42 researchers from KIST in Korea have demonstrated a cross-bar type graphene resistive type memory what works by the formation of conducting channels through a normally non-conducting graphene oxide layer. This device is very interesting since it has a memory mechanism similar to seen in other resistive based memories (conducting filament formation) and it can also be made into flexible formats for 'plastic' electronic applications - see Fig Fig Graphene oxide resistive cross-bar memory - see The role of Europe in Emerging Technologies Data storage and memory technology continues to develop at a pace. Nevertheless, the mainstream memory technologies of magnetic hard disks (HDDs) and CMOS Flash devices continue to face difficult technical challenges (the super-paramagnetic limit in HDDs and scaling-limits in Flash) to increase storage capacities and reduce power consumption. There 42 H Y Jeong et al, Nanoletters, DOI: /nl101902k, Sept /138

123 Emerging technologies is therefore a great opportunity for Europe to contribute to, and in some cases lead, the development of alternative memory technologies. Areas of alternative memories in which Europe does lead the world at the present time include probe storage and related probe technologies, due primarily to the research achievements at IBM Zurich (who of course invented the STM and AFM). Europe is also a world-leader in the area of graphene materials, graphene having been discovered in the UK in However, there does not seem to have been much European research as yet into the use of graphene for non-volatile memory application, and this could be a focus of near and mid-term research. There is a strong argument in favour of concentrating on a new technology area in which we can compete globally and, if research and development is successful, establish new storage companies or support and extend the portfolios of existing EU industries. Furthermore, by targeting a technology that is still a distance (5 to 10 years) from a marketable proposition, the EU will be able to take maximum advantage of possible opportunities that investment makes available to it. 123 /138

124 Preserving the cultural heritage 4 Preservation of Cultural Heritage One key issue, often neglected when considering electronic-based mass storage, is the question of the long-term conservation of stored data. It has been often pointed out that we can still read books which are hundred, or even thousand of years old, and old photographic plates are still printable, but we do not know if electronically saved data will be still readable in 20, 50 or 100 years time. This issue is becoming increasingly critical, since there is a general move towards digitalization of texts and images, and towards the so called paper-less office. Moreover, an increasing part of music, images and movies is available only in electronic format. In order to avoid becoming a society with no memory of the past, a concerted action is needed to assure the conservation of digitally stored materials. The issue was raised even recently by Dutch Minister for Education, Culture and Science, Maria van der Hoeven, who suggested that the concept be awarded more importance at European level and introduced as a priority in the Seventh Framework Programme (FP7) for research. The challenge must be met on two fields: Storage standards: several standards are used to store data, some of them related to specific programs used to generate the file (e.g. PowerPoint, Freelance Graphics, Words, Acrobat), others more general (e.g. ASCII, JPEG, TIFF). These formats evolve quite rapidly (one generation every two-three years), and even if compatibility with previous generation is usually rather good, incomplete information recovery can often happen with older versions, and cross-portability is not always guaranteed. Again, more general standards have in general a longer lifetime. Since most of these standards result from commercial products, it is probably hopeless to try to control them; anyhow an effort must be done to guarantee continuity. The solution could be in the form of an Association or Network, like a European Bureau of Formats, that insure the conservation of all main reading tools, hardware and software, and, if needed, takes care of developing, or having developed, 124 /138

125 Preserving the cultural heritage conversion programs for formats or media which are in danger of losing information. A good example is the Dutch e-depot initiative, a cooperation between the Dutch National Library and IBM. It takes the form of a storage and retrieval system that automatically adapts to new technological developments. It automatically updates itself when computer programs, hardware and software are replaced. Storage media: several electronic mass-storage media are in use, and are discussed in this document. The long-term life of the support and of the stored information is still matter of debate: in spite of all accelerated life tests, there is no guarantee that all possible failure mechanisms are covered. The real proof will be given only by long-term storage tests. On the other side, the fact that books and other traditional information storage media are so long-lived is related to their very high built-in redundancy: scratches, loss of a few letters, even loss of full pages do not, in general, significantly reduce the information content. The situation is completely different for electronic storage: the most commonly used formats are using compression to save memory space, and therefore the content is made even more sensitive to single bit failures. A possible alternative is to develop new information coding algorithms that maximize redundancy, taking profit of the continuous increase in memory availability. Special care should be given to the protection of the control characters, where a single failure could make all the text unreadable. The development of proper codes and algorithms should be subject of a dedicated research effort at European level. Old technologies for memories: drum and vacuum tubes. 125 /138

Emerging Memory Technologies

Emerging Memory Technologies Report No. FI-NVM-EMT-1209 By: Josef Willer, Gregory Wong December 2009 2009 Forward Insights. All Rights Reserved. Reproduction and distribution of this publication in any form in whole or in part without

More information

WINNER TAKE ALL: How Competitiveness Shapes the Fate of Nations. Richard Elkus, Jr. The Derivative Debacle

WINNER TAKE ALL: How Competitiveness Shapes the Fate of Nations. Richard Elkus, Jr. The Derivative Debacle WINNER TAKE ALL: How Competitiveness Shapes the Fate of Nations Richard Elkus, Jr. 1 The Derivative Debacle Derivatives are financial products initially designed to reduce investment risk in value added

More information

2018 Survey Summary for Storage in Professional Media and Entertainment

2018 Survey Summary for Storage in Professional Media and Entertainment Introduction 2018 Survey Summary for Storage in Professional Media and Entertainment Thomas Coughlin Coughlin Associates www.tomcoughlin.com Digital storage plays a significant role in the professional

More information

SEMICONDUCTOR TECHNOLOGY -CMOS-

SEMICONDUCTOR TECHNOLOGY -CMOS- SEMICONDUCTOR TECHNOLOGY -CMOS- Fire Tom Wada 2011/12/19 1 What is semiconductor and LSIs Huge number of transistors can be integrated in a small Si chip. The size of the chip is roughly the size of nails.

More information

SEMICONDUCTOR TECHNOLOGY -CMOS-

SEMICONDUCTOR TECHNOLOGY -CMOS- SEMICONDUCTOR TECHNOLOGY -CMOS- Fire Tom Wada What is semiconductor and LSIs Huge number of transistors can be integrated in a small Si chip. The size of the chip is roughly the size of nails. Currently,

More information

Internet of Things: Cross-cutting Integration Platforms Across Sectors

Internet of Things: Cross-cutting Integration Platforms Across Sectors Internet of Things: Cross-cutting Integration Platforms Across Sectors Dr. Ovidiu Vermesan, Chief Scientist, SINTEF DIGITAL EU-Stakeholder Forum, 31 January-01 February, 2017, Essen, Germany IoT - Hyper-connected

More information

Chapter 2. Analysis of ICT Industrial Trends in the IoT Era. Part 1

Chapter 2. Analysis of ICT Industrial Trends in the IoT Era. Part 1 Chapter 2 Analysis of ICT Industrial Trends in the IoT Era This chapter organizes the overall structure of the ICT industry, given IoT progress, and provides quantitative verifications of each market s

More information

P1: OTA/XYZ P2: ABC c01 JWBK457-Richardson March 22, :45 Printer Name: Yet to Come

P1: OTA/XYZ P2: ABC c01 JWBK457-Richardson March 22, :45 Printer Name: Yet to Come 1 Introduction 1.1 A change of scene 2000: Most viewers receive analogue television via terrestrial, cable or satellite transmission. VHS video tapes are the principal medium for recording and playing

More information

Digital Integrated Circuits EECS 312

Digital Integrated Circuits EECS 312 14 12 10 8 6 Fujitsu VP2000 IBM 3090S Pulsar 4 IBM 3090 IBM RY6 CDC Cyber 205 IBM 4381 IBM RY4 2 IBM 3081 Apache Fujitsu M380 IBM 370 Merced IBM 360 IBM 3033 Vacuum Pentium II(DSIP) 0 1950 1960 1970 1980

More information

Layers of Innovation: How Signal Chain Innovations are Creating Analog Opportunities in a Digital World

Layers of Innovation: How Signal Chain Innovations are Creating Analog Opportunities in a Digital World The World Leader in High Performance Signal Processing Solutions Layers of Innovation: How Signal Chain Innovations are Creating Analog Opportunities in a Digital World Dave Robertson-- VP of Analog Technology

More information

Digital Integrated Circuits EECS 312. Review. Remember the ENIAC? IC ENIAC. Trend for one company. First microprocessor

Digital Integrated Circuits EECS 312. Review. Remember the ENIAC? IC ENIAC. Trend for one company. First microprocessor 14 12 10 8 6 IBM ES9000 Bipolar Fujitsu VP2000 IBM 3090S Pulsar 4 IBM 3090 IBM RY6 CDC Cyber 205 IBM 4381 IBM RY4 2 IBM 3081 Apache Fujitsu M380 IBM 370 Merced IBM 360 IBM 3033 Vacuum Pentium II(DSIP)

More information

Automation in Semiconductor Manufacturing IEDM, San Francisco, 1982 Keynote Speech

Automation in Semiconductor Manufacturing IEDM, San Francisco, 1982 Keynote Speech Automation in Semiconductor Manufacturing IEDM, San Francisco, 1982 Keynote Speech Commentary Alongside ISSCC, IEDM is the most traditional academic conference in the semiconductor field, and it is held

More information

24. Scaling, Economics, SOI Technology

24. Scaling, Economics, SOI Technology 24. Scaling, Economics, SOI Technology Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 December 4, 2017 ECE Department, University

More information

Forward-Looking Statements

Forward-Looking Statements Forward-Looking Statements Information in this presentation regarding MagnaChip s forecasts, business outlook, expectations and beliefs are forward-looking statements within the meaning of the Private

More information

Multimedia Systems and Hitachi Initiatives

Multimedia Systems and Hitachi Initiatives Multimedia Systems and Hitachi Initiatives Digital Consumer Equipment and Multimedia Systems Multimedia Systems and Hitachi Initiatives 2 Masanori Yoshino Masuo Oku Tadashi Kuwabara OVERVIEW: The Internet

More information

System Quality Indicators

System Quality Indicators Chapter 2 System Quality Indicators The integration of systems on a chip, has led to a revolution in the electronic industry. Large, complex system functions can be integrated in a single IC, paving the

More information

International Workshop, Electrical Enduse Efficiency, 5th March Residential electricity consumption

International Workshop, Electrical Enduse Efficiency, 5th March Residential electricity consumption International Workshop, Electrical Enduse Efficiency, 5th March 2010 Residential electricity consumption Despite national efforts, electricity consumption is growing at nearly twice the rate estimated

More information

IoT trends in the Americas and considerations on the importance of National IoT plans

IoT trends in the Americas and considerations on the importance of National IoT plans IoT trends in the Americas and considerations on the importance of National IoT plans An overview of the activity in the APAC region Lucas Gallitto Technology and Policy Manager GSMA Lima, Peru August

More information

IERC Standardization Challenges. Standards for an Internet of Things. 3 and 4 July 2014, ETSI HQ (Sophia Antipolis)

IERC Standardization Challenges. Standards for an Internet of Things. 3 and 4 July 2014, ETSI HQ (Sophia Antipolis) www.internet-of-things-research.eu Standardization Challenges Standards for an Internet of Things 3 and 4 July 2014, ETSI HQ (Sophia Antipolis) Workshop co-organized by EC DG Connect and ETSI Dr. Ovidiu

More information

METHOD, COMPUTER PROGRAM AND APPARATUS FOR DETERMINING MOTION INFORMATION FIELD OF THE INVENTION

METHOD, COMPUTER PROGRAM AND APPARATUS FOR DETERMINING MOTION INFORMATION FIELD OF THE INVENTION 1 METHOD, COMPUTER PROGRAM AND APPARATUS FOR DETERMINING MOTION INFORMATION FIELD OF THE INVENTION The present invention relates to motion 5tracking. More particularly, the present invention relates to

More information

OLED Lighting: A review of the patent landscape Published: 2011-Q3

OLED Lighting: A review of the patent landscape Published: 2011-Q3 Patents Research Report OLED Lighting Patents : A review of the landscape Craig Cruickshank October 2009 2011 OLED Lighting: A review of the patent landscape Published: 2011-Q3 cintelliq Limited St. John

More information

DELL: POWERFUL FLEXIBILITY FOR THE IOT EDGE

DELL: POWERFUL FLEXIBILITY FOR THE IOT EDGE DELL: POWERFUL FLEXIBILITY FOR THE IOT EDGE ABSTRACT Dell Edge Gateway 5000 Series represents a blending of exceptional compute power and flexibility for Internet of Things deployments, offering service

More information

Financial presentation. February 2014

Financial presentation. February 2014 Financial presentation February 2014 1 Contents Profile Strategy Outlook Stock market data 2 Profile RIBER in brief World leader for dedicated semiconductor alloy production and complex material deposition

More information

Internet of Things (IoT) Vikram Raval GSMA

Internet of Things (IoT) Vikram Raval GSMA Internet of Things (IoT) Vikram Raval GSMA Introductions 2 Your objectives What do you expect to learn from this course? How is it relevant to you? 3 Themes Understanding the benefits IoT can bring Key

More information

Spectrum for the Internet of Things

Spectrum for the Internet of Things Spectrum for the Internet of Things GSMA Public Policy Position August 2016 COPYRIGHT 2017 GSM ASSOCIATION 2 SPECTRUM FOR THE INTERNET OF THINGS Summary The Internet of Things (IoT) is a hugely important

More information

The BIGGEST. The 2 nd Saudi International Exhibition & Conference for Internet of Things February 2019

The BIGGEST. The 2 nd Saudi International Exhibition & Conference for Internet of Things February 2019 Government Partner Redefining Communications The 2 nd Saudi International Exhibition & Conference for Internet of Things 13-15 February 2019 Riyadh International Convention & Exhibition Center www.saudiiot.com

More information

RFSOI and FDSOI enabling smarter and IoT applications. Kirk Ouellette Digital Products Group STMicroelectronics

RFSOI and FDSOI enabling smarter and IoT applications. Kirk Ouellette Digital Products Group STMicroelectronics RFSOI and FDSOI enabling smarter and IoT applications Kirk Ouellette Digital Products Group STMicroelectronics ST in the IoT already Today 2 Kirk Ouellette More then Moore Workshop - Shanghai - March 17,

More information

JVC Reports Business Results for Fiscal 2006 (April 1, 2005 March 31, 2006)

JVC Reports Business Results for Fiscal 2006 (April 1, 2005 March 31, 2006) For Immediate Release: April 27, 2006 JVC Reports Business Results for Fiscal 2006 Victor Company of Japan, Ltd. (JVC) announced today its financial results for fiscal 2006. Consolidated total sales decreased

More information

Active Optical Cable Trends. VIA Technologies Inc.

Active Optical Cable Trends. VIA Technologies Inc. Active Optical Cable Trends VIA Technologies Inc. Our aim VIA s focus is to understand the growing active optical cable market. Long known as a niche product, active optical cables are appealing to a wider

More information

The Importance of Connectivity in the IoT Roadmap End-User Sentiment Towards IoT Connectivity. An IDC InfoBrief, Sponsored by February 2018

The Importance of Connectivity in the IoT Roadmap End-User Sentiment Towards IoT Connectivity. An IDC InfoBrief, Sponsored by February 2018 The Importance of Connectivity in the IoT Roadmap End-User Sentiment Towards IoT Connectivity An IDC InfoBrief, Sponsored by February 2018 IDC s IoT Definition IDC defines IoT as a network of networks

More information

Standard for an Architectural Framework for the Internet of Things

Standard for an Architectural Framework for the Internet of Things Standard for an Architectural Framework for the Internet of Things IEEE P2413 Philippe Nappey Strategy & Technology Schneider Electric ETSI M2M Workshop Sophia Antipolis, France 10 December, 2014 IoT The

More information

Australian Broadcasting Corporation. submission to. National Cultural Policy Consultation

Australian Broadcasting Corporation. submission to. National Cultural Policy Consultation Australian Broadcasting Corporation submission to National Cultural Policy Consultation February 2010 Introduction The Australian Broadcasting Corporation (ABC) welcomes the opportunity to provide a submission

More information

AIXTRON in EXCILIGHT project

AIXTRON in EXCILIGHT project AIXTRON SE AIXTRON in EXCILIGHT project Gintautas Simkus ABOUT AIXTRON 2 Who we are Headquarter based in Herzogenrath, Germany Worldwide presence with 14 sales/representatives offices and production facilities

More information

JVC Reports Business Results for the First Half of Fiscal 2006

JVC Reports Business Results for the First Half of Fiscal 2006 For Immediate Release: October 27, 2005 JVC Reports Business Results for the First Half of Fiscal 2006 (April 1, 2005- September 30, 2005) Victor Company of Japan, Ltd. (JVC) announced today it registered

More information

Welcome to Electrical and Electronic Engineering UCD. Electronic/Computer Engineering (ECE)

Welcome to Electrical and Electronic Engineering UCD. Electronic/Computer Engineering (ECE) Welcome to Electrical and Electronic Engineering UCD Electronic/Computer Engineering the engineering of INFORMATION in electrical form AND Electrical Engineering the engineering of ENERGY in electrical

More information

COMMISSION OF THE EUROPEAN COMMUNITIES

COMMISSION OF THE EUROPEAN COMMUNITIES COMMISSION OF THE EUROPEAN COMMUNITIES Brussels, 02.02.2006 COM(2006) 37 final COMMUNICATION FROM THE COMMISSION TO THE COUNCIL, THE EUROPEAN PARLIAMENT, THE EUROPEAN ECONOMIC AND SOCIAL COMMITTEE AND

More information

ITU-T Y Functional framework and capabilities of the Internet of things

ITU-T Y Functional framework and capabilities of the Internet of things I n t e r n a t i o n a l T e l e c o m m u n i c a t i o n U n i o n ITU-T Y.2068 TELECOMMUNICATION STANDARDIZATION SECTOR OF ITU (03/2015) SERIES Y: GLOBAL INFORMATION INFRASTRUCTURE, INTERNET PROTOCOL

More information

Out of order execution allows

Out of order execution allows Out of order execution allows Letter A B C D E Answer Requires extra stages in the pipeline The processor to exploit parallelism between instructions. Is used mostly in handheld computers A, B, and C A

More information

Broadband Changes Everything

Broadband Changes Everything Broadband Changes Everything OECD Roundtable On Communications Convergence UK Department of Trade and Industry Conference Centre London June 2-3, 2005 Michael Hennessy President Canadian Cable Telecommunications

More information

ADVANCEMENTS IN GRAVURE TECHNOLOGY: FOR SUSTAINABILITY AND GROWTH PRINTED LIGHTING TECHNOLOGY

ADVANCEMENTS IN GRAVURE TECHNOLOGY: FOR SUSTAINABILITY AND GROWTH PRINTED LIGHTING TECHNOLOGY ADVANCEMENTS IN GRAVURE TECHNOLOGY: FOR SUSTAINABILITY AND GROWTH PRINTED LIGHTING TECHNOLOGY Marc Chason Marc Chason and Associates, Inc. marcchason@sbcglobal.net January 17, 2012 Logic Driven Value Chain

More information

Optimized Magnetic Flip-Flop Combined With Flash Architecture for Memory Unit Based On Sleep Transistor

Optimized Magnetic Flip-Flop Combined With Flash Architecture for Memory Unit Based On Sleep Transistor International Journal of Electrical Engineering. ISSN 0974-2158 Volume 8, Number 1 (2015), pp. 73-79 International Research Publication House http://www.irphouse.com Optimized Magnetic Flip-Flop Combined

More information

WHAT IS THE FUTURE OF TAPE TECHNOLOGY FOR DATA STORAGE AND MANAGEMENT?

WHAT IS THE FUTURE OF TAPE TECHNOLOGY FOR DATA STORAGE AND MANAGEMENT? WHAT IS THE FUTURE OF TAPE TECHNOLOGY FOR DATA STORAGE AND MANAGEMENT? There is news in the field of tape storage: two new products will be launched in 2018 which will change tape technology s offer in

More information

Understanding Compression Technologies for HD and Megapixel Surveillance

Understanding Compression Technologies for HD and Megapixel Surveillance When the security industry began the transition from using VHS tapes to hard disks for video surveillance storage, the question of how to compress and store video became a top consideration for video surveillance

More information

FILM, TV & GAMES CONFERENCE 2015

FILM, TV & GAMES CONFERENCE 2015 FILM, TV & GAMES CONFERENCE 2015 Sponsored by April 2015 at The Royal Institution Session 5: Movie Market Update Ben Keen, Chief Analyst & VP, Media, IHS This report summarises a session that took place

More information

Sharif University of Technology. SoC: Introduction

Sharif University of Technology. SoC: Introduction SoC Design Lecture 1: Introduction Shaahin Hessabi Department of Computer Engineering System-on-Chip System: a set of related parts that act as a whole to achieve a given goal. A system is a set of interacting

More information

Explorer Edition FUZZY LOGIC DEVELOPMENT TOOL FOR ST6

Explorer Edition FUZZY LOGIC DEVELOPMENT TOOL FOR ST6 fuzzytech ST6 Explorer Edition FUZZY LOGIC DEVELOPMENT TOOL FOR ST6 DESIGN: System: up to 4 inputs and one output Variables: up to 7 labels per input/output Rules: up to 125 rules ON-LINE OPTIMISATION:

More information

Prime Minister's Advisory Council on Cyber Security - Industry Working Group on IoT

Prime Minister's Advisory Council on Cyber Security - Industry Working Group on IoT Prime Minister's Advisory Council on Cyber Security - Industry Working Group on IoT AIIA feedback October 2017 Ground Suite B 7-11 Barry Drive Turner ACT 2612 GPO Box 573 Canberra ACT 2601 61 2 6281 9400

More information

Future of Analog Design and Upcoming Challenges in Nanometer CMOS

Future of Analog Design and Upcoming Challenges in Nanometer CMOS Future of Analog Design and Upcoming Challenges in Nanometer CMOS Greg Taylor VLSI Design 2010 Outline Introduction Logic processing trends Analog design trends Analog design challenge Approaches Conclusion

More information

Characteristics of the liquid crystals market

Characteristics of the liquid crystals market Characteristics of the liquid crystals market Information Day 2013 A Deep Dive into the LC&OLED Business Walter Galinat President of Performance Materials Darmstadt, Germany June 26, 2013 Disclaimer Remarks

More information

OUTCOME OF WMO MEETINGS OF RELEVANCE TO ET-SAT. Outline of a Strategy for Improved Availability and Accessibility of Satellite Data and Products

OUTCOME OF WMO MEETINGS OF RELEVANCE TO ET-SAT. Outline of a Strategy for Improved Availability and Accessibility of Satellite Data and Products WORLD METEOROLOGICAL ORGANIZATION COMMISSION FOR BASIC SYSTEMS OPEN PROGRAMME AREA GROUP ON INTEGRATED OBSERVING SYSTEMS EXPERT TEAM ON SATELLITE SYSTEMS NINTH SESSION GENEVA, SWITZERLAND, 12-14 NOVEMBER

More information

Contents. Introduction. Skyworks Solutions (SWKS) Cypress Semiconductor (CY) Sierra Wireless (SWIR) Silicon Labs (SLAB) Rockwell Automation (ROK)

Contents. Introduction. Skyworks Solutions (SWKS) Cypress Semiconductor (CY) Sierra Wireless (SWIR) Silicon Labs (SLAB) Rockwell Automation (ROK) Contents Introduction Skyworks Solutions (SWKS) Cypress Semiconductor (CY) Sierra Wireless (SWIR) Silicon Labs (SLAB) Rockwell Automation (ROK) Global X Internet of Things Thematic ETF (SNSR) Bottom Line

More information

EBU view How should we use the digital dividend?

EBU view How should we use the digital dividend? EBU view How should we use the digital dividend? Long-term public interest versus short-term profit Revised April 2009 CONTENT How should we use the digital dividend? The EBU s key concerns Why is the

More information

Milestone Leverages Intel Processors with Intel Quick Sync Video to Create Breakthrough Capabilities for Video Surveillance and Monitoring

Milestone Leverages Intel Processors with Intel Quick Sync Video to Create Breakthrough Capabilities for Video Surveillance and Monitoring white paper Milestone Leverages Intel Processors with Intel Quick Sync Video to Create Breakthrough Capabilities for Video Surveillance and Monitoring Executive Summary Milestone Systems, the world s leading

More information

WHITE PAPER THE FUTURE OF SPORTS BROADCASTING. Corporate. North & Latin America. Asia & Pacific. Other regional offices.

WHITE PAPER THE FUTURE OF SPORTS BROADCASTING. Corporate. North & Latin America. Asia & Pacific. Other regional offices. THE FUTURE OF SPORTS BROADCASTING Corporate North & Latin America Asia & Pacific Other regional offices Headquarters Headquarters Headquarters Available at +32 4 361 7000 +1 947 575 7811 +852 2914 2501

More information

3D-CHIP TECHNOLOGY AND APPLICATIONS OF MINIATURIZATION

3D-CHIP TECHNOLOGY AND APPLICATIONS OF MINIATURIZATION 3D-CHIP TECHNOLOGY AND APPLICATIONS OF MINIATURIZATION 23.08.2018 I DAVID ARUTINOV CONTENT INTRODUCTION TRENDS AND ISSUES OF MODERN IC s 3D INTEGRATION TECHNOLOGY CURRENT STATE OF 3D INTEGRATION SUMMARY

More information

Company overview. Brief profile

Company overview. Brief profile Company overview Brief profile For nearly 80 years, Rohde & Schwarz has stood for quality, precision and innovation in all fields of wireless communications. The company is strategically based on four

More information

FinFETs & SRAM Design

FinFETs & SRAM Design FinFETs & SRAM Design Raymond Leung VP Engineering, Embedded Memories April 19, 2013 Synopsys 2013 1 Agenda FinFET the Device SRAM Design with FinFETs Reliability in FinFETs Summary Synopsys 2013 2 How

More information

ANNUAL GENERAL MEETING Teleste Proprietary. All rights reserved.

ANNUAL GENERAL MEETING Teleste Proprietary. All rights reserved. ANNUAL GENERAL MEETING 7.4.2016 1 Building a future that is smarter, safer and smoother Company restricted Business Areas Video and Broadband Solutions Broadband networks and video security and information

More information

High Performance Microprocessor Design and Automation: Overview, Challenges and Opportunities IBM Corporation

High Performance Microprocessor Design and Automation: Overview, Challenges and Opportunities IBM Corporation High Performance Microprocessor Design and Automation: Overview, Challenges and Opportunities Introduction About Myself What to expect out of this lecture Understand the current trend in the IC Design

More information

PROTOTYPE OF IOT ENABLED SMART FACTORY. HaeKyung Lee and Taioun Kim. Received September 2015; accepted November 2015

PROTOTYPE OF IOT ENABLED SMART FACTORY. HaeKyung Lee and Taioun Kim. Received September 2015; accepted November 2015 ICIC Express Letters Part B: Applications ICIC International c 2016 ISSN 2185-2766 Volume 7, Number 4(tentative), April 2016 pp. 1 ICICIC2015-SS21-06 PROTOTYPE OF IOT ENABLED SMART FACTORY HaeKyung Lee

More information

Top reasons to switch to Sony s professional LCD LUMA TM monitors

Top reasons to switch to Sony s professional LCD LUMA TM monitors Top reasons to switch to Sony s professional LCD LUMA TM monitors Designed for broadcast and multimedia applications where performance and reliability are critical, the Sony LUMA family of professional

More information

Vision Standards Bring Sharper View to Medical Imaging

Vision Standards Bring Sharper View to Medical Imaging Vision Standards Bring Sharper View to Medical Imaging The noisy factory floor may seem worlds away from the sterile hum of a hospital operating room, but the inspection cameras and robotic arms along

More information

The speed of life. How consumers are changing the way they watch, rent, and buy movies. Consumer intelligence series.

The speed of life. How consumers are changing the way they watch, rent, and buy movies. Consumer intelligence series. The speed of life Consumer intelligence series How consumers are changing the way they watch, rent, and buy movies Online and consumer discovery sessions held between July and October 2010 Series overview

More information

Consumer Electronics 2008 Overview. John Taylor Vice President of Public Affairs and Communications LG Electronics

Consumer Electronics 2008 Overview. John Taylor Vice President of Public Affairs and Communications LG Electronics Consumer Electronics 2008 Overview John Taylor Vice President of Public Affairs and Communications Overview LG s Growth Market Outlook / Product Leadership Strategic Partnerships DTV Transition Leadership

More information

Noise Margin in Low Power SRAM Cells

Noise Margin in Low Power SRAM Cells Noise Margin in Low Power SRAM Cells S. Cserveny, J. -M. Masgonty, C. Piguet CSEM SA, Neuchâtel, CH stefan.cserveny@csem.ch Abstract. Noise margin at read, at write and in stand-by is analyzed for the

More information

Advanced Sensor Technologies

Advanced Sensor Technologies Advanced Sensor Technologies Jörg Amelung Fraunhofer Institute for Photonics Microsystems Name of presenter date Sensors as core element for IoT Next phase of market grow New/Advanced Requirements based

More information

SEMI Flat-Panel Display Division Phosphor Technology Center of Excellence TABLE 10 MAJOR ACTIVITIES OF PTCOE Ferroelectric Liquid

SEMI Flat-Panel Display Division Phosphor Technology Center of Excellence TABLE 10 MAJOR ACTIVITIES OF PTCOE Ferroelectric Liquid INTRODUCTION... XVIII STUDY GOALS AND OBJECTIVES... XVIII REASONS FOR DOING THIS STUDY... XVIII CONTRIBUTIONS TO THE STUDY AND FOR WHOM... XVIII SCOPE AND FORMAT... XIX METHODOLOGY... XIX INFORMATION SOURCES...

More information

Design of Fault Coverage Test Pattern Generator Using LFSR

Design of Fault Coverage Test Pattern Generator Using LFSR Design of Fault Coverage Test Pattern Generator Using LFSR B.Saritha M.Tech Student, Department of ECE, Dhruva Institue of Engineering & Technology. Abstract: A new fault coverage test pattern generator

More information

The Emergence of LCD TV and its Impact on Glass. James B. Flaws Vice Chairman and Chief Financial Officer

The Emergence of LCD TV and its Impact on Glass. James B. Flaws Vice Chairman and Chief Financial Officer The Emergence of LCD TV and its Impact on Glass James B. Flaws Vice Chairman and Chief Financial Officer January 10, 2006 Forward Looking and Cautionary Certain statements in this presentation constitute

More information

FY 2010 Results Presentation

FY 2010 Results Presentation FY 2010 Results Presentation TCL Multimedia Technology Company Limited Stock Code: 01070 Agenda Results Overview Financial Highlights Business Review Outlook Page 2 Results Overview TCL Multimedia Technology

More information

DRIVING REVENUE FROM THE INTERNET OF THINGS

DRIVING REVENUE FROM THE INTERNET OF THINGS DRIVING REVENUE FROM THE INTERNET OF THINGS There is a significant amount of information available around the subject of The Internet of Things (IoT) or The Internet of Everything (IoE). The UK Government

More information

MARKET OUTPERFORMERS CELERITAS INVESTMENTS

MARKET OUTPERFORMERS CELERITAS INVESTMENTS MARKET OUTPERFORMERS CELERITAS INVESTMENTS Universal Displays (OLED) Rating: Strong Buy Stock Price: $101/share Price Target: $130/share MOP Idea of the Month: Universal Displays Business Overview: Universal

More information

Analog, Mixed-Signal, and Radio-Frequency (RF) Electronic Design Laboratory. Electrical and Computer Engineering Department UNC Charlotte

Analog, Mixed-Signal, and Radio-Frequency (RF) Electronic Design Laboratory. Electrical and Computer Engineering Department UNC Charlotte Analog, Mixed-Signal, and Radio-Frequency (RF) Electronic Design Laboratory Electrical and Computer Engineering Department UNC Charlotte Teaching and Research Faculty (Please see faculty web pages for

More information

NOR Flash from Greater China : From Follower to Leader

NOR Flash from Greater China : From Follower to Leader NOR Flash from Greater China : From Follower to Leader Michael Wang, VP Strategic Marketing GigaDevice Semiconductor Inc. Santa Clara, CA 1 History of Flash Memory Technology NOR Intel 1 st produced NOR

More information

Digital Media N ETWORK

Digital Media N ETWORK Digital Media N ETWORK 21 What happens when work meets play? What lies at the intersection of home and office, where consumer electronics meets information technology? How deeply can each product, each

More information

LOW POWER & AREA EFFICIENT LAYOUT ANALYSIS OF CMOS ENCODER

LOW POWER & AREA EFFICIENT LAYOUT ANALYSIS OF CMOS ENCODER 90 LOW POWER & AREA EFFICIENT LAYOUT ANALYSIS OF CMOS ENCODER Tanuj Yadav Electronics & Communication department National Institute of Teacher s Training and Research Chandigarh ABSTRACT An Encoder is

More information

Switching to digital television

Switching to digital television Switching to digital television The transition from analogue to digital television is well under way in a number of countries around the world. digital television allows for better picture and sound quality,

More information

Institutes of Technology: Frequently Asked Questions

Institutes of Technology: Frequently Asked Questions Institutes of Technology: Frequently Asked Questions SCOPE Why are IoTs needed? We are supporting the creation of prestigious new Institutes of Technology (IoTs) to increase the supply of the higher-level

More information

Global Liquid Crystal Display/ Flat Panel Display Market Strategies 2002

Global Liquid Crystal Display/ Flat Panel Display Market Strategies 2002 Advanced Market Report Global Liquid Crystal Display/ Flat Panel Display Market Strategies 2002 Including Special Feature: Business Chances in the Small-Screen LCD Market Infinite Technologies, Inc. (itec)

More information

TURNING DIGITAL. The Future Can't Wait. Annual Report XVI Edition

TURNING DIGITAL. The Future Can't Wait. Annual Report XVI Edition TURNING DIGITAL The Future Can't Wait Annual Report XVI Edition October 2018 Billion Executive summary The TV market in 2017 The global TV market revenue in Western Europe reached 98.7 billion at the end

More information

FIM INTERNATIONAL SURVEY ON ORCHESTRAS

FIM INTERNATIONAL SURVEY ON ORCHESTRAS 1st FIM INTERNATIONAL ORCHESTRA CONFERENCE Berlin April 7-9, 2008 FIM INTERNATIONAL SURVEY ON ORCHESTRAS Report By Kate McBain watna.communications Musicians of today, orchestras of tomorrow! A. Orchestras

More information

IoT Strategy Roadmap

IoT Strategy Roadmap IoT Strategy Roadmap Ovidiu Vermesan, SINTEF ROAD2CPS Strategy Roadmap Workshop, 15 November, 2016 Brussels, Belgium IoT-EPI Program The IoT Platforms Initiative (IoT-EPI) program includes the research

More information

Evaluating Oscilloscope Mask Testing for Six Sigma Quality Standards

Evaluating Oscilloscope Mask Testing for Six Sigma Quality Standards Evaluating Oscilloscope Mask Testing for Six Sigma Quality Standards Application Note Introduction Engineers use oscilloscopes to measure and evaluate a variety of signals from a range of sources. Oscilloscopes

More information

BTC and SMT Rework Challenges

BTC and SMT Rework Challenges BTC and SMT Rework Challenges Joerg Nolte Ersa GmbH Wertheim, Germany Abstract Rising customer demands in the field of PCB repair are a daily occurrence as the rapid electronic industry follows new trends

More information

The Pathway To Ultrabroadband Networks: Lessons From Consumer Behavior

The Pathway To Ultrabroadband Networks: Lessons From Consumer Behavior The Pathway To Ultrabroadband Networks: Lessons From Consumer Behavior John Carey Fordham Business Schools Draft This paper begins with the premise that a major use of ultrabroadband networks in the home

More information

Integrated Circuit Design ELCT 701 (Winter 2017) Lecture 1: Introduction

Integrated Circuit Design ELCT 701 (Winter 2017) Lecture 1: Introduction 1 Integrated Circuit Design ELCT 701 (Winter 2017) Lecture 1: Introduction Assistant Professor Office: C3.315 E-mail: eman.azab@guc.edu.eg 2 Course Overview Lecturer Teaching Assistant Course Team E-mail:

More information

1-Commitment on analogue Cathod Ray Tube based television receivers (CRTTV)

1-Commitment on analogue Cathod Ray Tube based television receivers (CRTTV) Title of the measure: EU45 EICTA Self-Commitment to improve the energy performance of CRT and flat LCD televisions and stand by mode for DVD players General description The SAVE programme established by

More information

CSCI 120 Introduction to Computation Bits... and pieces (draft)

CSCI 120 Introduction to Computation Bits... and pieces (draft) CSCI 120 Introduction to Computation Bits... and pieces (draft) Saad Mneimneh Visiting Professor Hunter College of CUNY 1 Yes No Yes No... I am a Bit You may recall from the previous lecture that the use

More information

NEW APPROACHES IN TRAFFIC SURVEILLANCE USING VIDEO DETECTION

NEW APPROACHES IN TRAFFIC SURVEILLANCE USING VIDEO DETECTION - 93 - ABSTRACT NEW APPROACHES IN TRAFFIC SURVEILLANCE USING VIDEO DETECTION Janner C. ArtiBrain, Research- and Development Corporation Vienna, Austria ArtiBrain has installed numerous incident detection

More information

From VCRs to IP-Surveillance

From VCRs to IP-Surveillance TECHNOLOGY AND APPLICATIONS WHITE PAPER From VCRs to IP-Surveillance TABLE OF CONTENTS 1 Introduction It s a Digital World... 3 2 The Digital Evolution of CCTV Surveillance... 3 3 From VCR to IP-Surveillance

More information

Text with EEA relevance. Official Journal L 036, 05/02/2009 P

Text with EEA relevance. Official Journal L 036, 05/02/2009 P Commission Regulation (EC) No 107/2009 of 4 February 2009 implementing Directive 2005/32/EC of the European Parliament and of the Council with regard to ecodesign requirements for simple set-top boxes

More information

Action07 Mid-range Business Plan

Action07 Mid-range Business Plan Action07 Mid-range Business Plan March 25, 2004 Saburo Kusama, President Seiko Epson Corporation Cautionary Statement When reviewing this information please note that the information was created as of

More information

Future of TV. Features and Benefits

Future of TV. Features and Benefits Future of TV This report assesses the future of TV in all its forms, encompassing content, technology, consumer appliances and devices, mobile devices, evolving media and broadcast business models, the

More information

KPN and the Internet of Things

KPN and the Internet of Things KPN and the Internet of Things Everything and everybody connected Introduction Water and steam powered the first industrial revolution in the eighteenth c entury. Electricity was the catalyst for the second

More information

Impact of Intermittent Faults on Nanocomputing Devices

Impact of Intermittent Faults on Nanocomputing Devices Impact of Intermittent Faults on Nanocomputing Devices Cristian Constantinescu June 28th, 2007 Dependable Systems and Networks Outline Fault classes Permanent faults Transient faults Intermittent faults

More information

Statement of the National Association of Broadcasters

Statement of the National Association of Broadcasters Statement of the National Association of Broadcasters Hearing before the House Committee on Energy and Commerce Subcommittee on Telecommunications and the Internet May 10, 2007 The National Association

More information

Global PET Film Marketplace; Impact on Converting Industry. Robert K Sinclair DuPont Teijin Films October 2004

Global PET Film Marketplace; Impact on Converting Industry. Robert K Sinclair DuPont Teijin Films October 2004 Global PET Film Marketplace; Impact on Converting Industry Robert K Sinclair DuPont Teijin Films October 2004 Agenda Overview Market Environment PET Film Industry Impact on Converters PET & PEN Films for

More information

mirasol Display Value Proposition White Paper

mirasol Display Value Proposition White Paper VALUEPROPOSI TI ON mi r asoldi spl ays Whi t epaper I June2009 Table of Contents Introduction... 1 Operational Principles... 2 The Cellular Phone Energy Gap... 3 Energy Metrics... 4 Energy Based Advantages...

More information

Adesto Acquires S3 Semiconductors

Adesto Acquires S3 Semiconductors Beyond Memories Adesto Acquires S3 Semiconductors May 9, 2018 A Brilliant Combination 3600 Peterson Way Santa Clara, California 95054 www.adestotech.com Who is Adesto Technologies? Inventing Application-Specific,

More information

STMicroelectronics NAND128W3A2BN6E 128 Mbit NAND Flash Memory Structural Analysis

STMicroelectronics NAND128W3A2BN6E 128 Mbit NAND Flash Memory Structural Analysis July 6, 2006 STMicroelectronics NAND128W3A2BN6E Structural Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor technology,

More information