Product Level MTBF Calculation

Size: px
Start display at page:

Download "Product Level MTBF Calculation"

Transcription

1 2014 Fifth International Conference on Intelligent Systems, Modelling and Simulation Product Level MTBF Calculation Ang Boon Chong easic Corp Abstract Synchronizers are used in sampling an asynchronous data for digital circuits. It protects the chips from metastability failure. As mean time between failure degrade with technology scaling while chip performance increase with multiple clock domain on chip and the synchronizer chain s usage increase, the mean time between failure, MTBF requirements is getting tougher to meet with technology scaling. The objective of this paper is to share the proper N number of synchronizer chains calculation as well as the product level mean time between failure, MTBF s derivation and the caveats of using traditional product level s mean time between failure,mtbf estimation. Hopefully the sharing will benefit the readers. Keywords Metastability, Synchronization, Chip I. INTRODUCTION For synchronous design, all sequential elements have to satisfy certain setup and hold requirement to ensure valid state is able to propagate. Metastability happens when asynchronous signals are transferred and the resulting output goes into undetermined state. A common example of metastability is the data violating the setup and hold requirement of the sequential element. It can be illustrated from Figure 1 asynchronous transfer and Figure 2, flipflop s behavior during metastability. flop hovers at voltage level between high and low and causing the output transition to be delayed beyond the specified clock-to-output delay (tco) shown in Figure 2. During metastability, the extra resolution time (Tw) happens sometime after the normally specified clock to output delay,tco if not accounted with extra timing slack, then system failures may occur. The duration of metastable condition is a probabilistic phenomenon and therefore is no guaranteed maximum time.entering a metastable state is a probabilistic function related to the clock frequency, the transition frequency of the asynchronous data signal and a constant that defines the window in which a transition can cause metastability. Metastability can appear as flip-flop that switches late or does not switch at all. It can present a brief pulse at flip-flop output or oscillations. Any of these conditions can cause system failure. Once in a metastability state, the value to which the flipflop resolves cannot be determined. It is analogous to a ball rolling over the hill shown in Figure 3. Each side of the hill represents a stable state and the top of the hill represents the metastable state. Figure 1 Asynchronous Transfer[1]-[3] Figure 3 Metastability Analogy The problem with metastable events is not merely their occurance but when the event causes inconsistent values to be latched into subsequent flip-flops if not synchronized properly shown in Figure 4. Figure 2 Metastability FF Behavior When a flip-flop is in metastable state, the output of the /14 $ IEEE DOI /ISMS

2 Figure 4 Metastability Propagation and Mitigation In this example, if one flip-flop latches a value of 1 while another flip-flop latches a value of 0, then the design can become unpredictable and may fail. This situation may occur because the 2 paths shown could have different routing delays. For meantime between failure, MTBF models evolution, it is shown in Table 1. TABLE 1 SUMMARY OF MULTISTAGE SYNCHRONIZER S MTBF MODELS[4]-[12] Figure 5 Flip-Flop Schematic Based on Figure 5, Upon entering the metastability, assuming the clock is low and, node A is at logic 1 and input D transitions from low to high. As a result, node A is falling and node B is rising. When the clock, CLK2, rises, it disconnects the input from node A and close the A-B loop. If A and B happens to be around its metastable levels, it would take them long time to diverge away towards legal digital value. From Figure 5, during metastability, the voltage levels of nodes A and B of the master latch are roughly mid-way between logic 1 and logic 0. The exact voltage levels depend on transistor sizing, process variations and are not necessarily equal for the 2 nodes. The settling time required for metastability of a flip-flop can be derived by plotting the data arrival time at node D versus clock to out delay at node B based on fixed clock and data slew shown in Table 2. TABLE 2 DATA ARRIVAL VERSUS CLKTOOUT DELAY SPICE SIMULATION In this paper, the scope of discussion will be product level MTBF formulation, the caveats of traditional product level MTBF estimation as well as brief introduction of MTBF measurement techniques and metaharden flip-flop analysis. II. MTBF MEASUREMENT TECHNIQUE To accurately determine the settling time, T w the Table 2 can be further processed to Table 3. For a typical flip-flop, it is shown in figure

3 TABLE 3 PROCESSED DATA driving output resistance, R and capacitive load C shown in Figure 7. From Table 3, the T dc is the the time where clock to output delay is longer than the usual clock to output delay, T co based on fixed data and clock slew. T extra is the extra clock to output delay required. The negative value on T dc is due to setup volation as data shift from left to the leading edge of clock transition. From Table 3, when the data arrival happens at 4.96, the clock to output delay start to increase. T dc is extracted by deducting the 4.96ns to data arrival time when no data is captured at flop s output. The plot of T dc versus T extra is shown in Figure 6 Figure 7 Master Latch Small Signal Model Based on Figure 7, the model can be written as (3) Assuming symmetry cross-couple inverters,, and subtracting equation (2) and (3) Redefine (2) (4) equation (4) can simplify as (5) If assuming equation (5) can simplify as (6) where = Hence the solution is Figure 6 T dc versus T extra From Figure 6, as T dc approach 0, T extra increases expontially, while T dc increases, T extra will reduce to 0. The equation relating it can be expressed in the following manner[4]: T extra = - ln where T dc T W else T extra =0 ; (1) The value of T W can be derive by from equation (1) using sampling point of T dc at -33ps and -13ps. During metastability exit, the 2 inverters operate at their linear-transfer function region. This can be modeled in small signal with the inverters as negative amplifiers, each (7) The simulation setting of the exiting metastability circuit is shown in Figure 8. For the simulation setup, the switch starts closed with small supply such as to match the none equilibrium state based on the voltage transfer curve. Once the equilibrium voltage required is determined, it is defined as the DC supply value and the switch is shorted initially and then opens the switch around 1ns to allow the latch to resolve. The possible voltage transfer curve anticipated is shown in Figure

4 By dividing equation (8) and (9) (10) Hence the value of can be derive from the linear slope of Figure 10. III. FLOP LEVEL MTBF REQUIREMENT Figure 8 Exiting Metastability Simulation Circuit Setup To derive the flop level meantime between failure,mtbf(s) for a typical 2 stage synchronous flip-flop in Figure 1,we simplify the notations to Figure 11. Figure 11 Async Flop Transfer Figure 9 Voltage Transfer Curve From Figure 9, it is observed that the voltage transfer curve is non-symmetry. Hence, an initial voltage supply, 0.037v is required to match the voltage node of and to equivalibrium stage. If the metastable state values are equal, it is a symmetry latch. For symmetry latch, the initial voltage supply, v The plot of voltage different versus time in natural log is shown in Figure 10. From equation (1), we can derive the equation for failure rate of register in the present of data source whose transition times are uncorrelated to the clock input,. Assume that is the amount of timing slack given to the synchronizer registers to resolve the metastable state, when the is greater than the available timing slack,, it is possible that errors will propagate to downstream logic and cause the system error. Hence the probability of failure after the sampling edge of the capturing clock can be express as : p(failure) =p(enter metastability) x p( > (11) Substitute equation (1) into equation (11) p(failure) = (12) With the account of async data rate, entering metastability shown in Figure 11, the rate of expected failures: p(failure) = (13) For N stage synchronizer where N is greater than 2 flipflops, the expected failure rate can be written as: p(failure) = (14) Figure 10 Output Voltage Difference Versus Time The mean time between failures, MTBF for N stage synchronizers is inverse of expected failure rate Based on equation (7), (8) (9) MTBF( )= (15) From equation (15), it is observed that for N stage synchronizers, the cumulative slack, (N-1) obtained is critical for better mean time between failures,mtbf(s) for synchronizer chain. 752

5 IV. METAHARDENING FLOP TRADE OFF For comparison of metahardening flop versus normal flip, it is shown in Table 4. TABLE 4: METAHARDEN FLOP COMPARISON Setup Hold Setup + Hold %diff Clk2Out %diff Tw Tau Leakage Dynamic Original Metaharden % % From Table 4, it is observed that though metaharden flop provide better settling time, the clock to out delay is degraded as the loading from the master latch increase. To improve the clock to out delay, further optimization on the slave latch is required. Leakage power increase due to the metaharden flip-flop usage is expected as the best performance is for metaharden flop ssettling time, T w is obtained through low threshold voltage, LVT cells usage. V. CHIP LEVEL MTBF REQUIREMENT Equation (15) provides a formula for single synchronizer chain. As design may consist of M synchronizer chains denoted as,,,, the entire chip effective mean time between failure can be derived as[4]: MTBF( )= (16) The equation (16) is valid under the hypothesis of independent failure which may not always be the case. Based on equation (16), it concludes that for entire chip M synchronizer chains, the effective mean time between failure corresponds to the th of the harmonic means of all synchronizer chains MTBFs and performance of the chip s mean time between failure is dominated by the performance of the worst chain in the design. Traditional method of deriving chip mean time between failure, MTBF( is derived based on the worst synchronizer chain s mean time between failure MTBF( divide by the total number of synchronizer chain, M in a chip. This will result in extremely pessimistic chip level mean time between failure, MTBF(. It can be explained by the following synchronizer chain conditions shown in Table 5. TABLE 5 CHIP S MEAN TIME BETWEEN FAILURE chain per Destination Clock clock domain MTBF single chain (Year) MTBF per clock domain (Year) clock A E E+04 clock B E E+04 clock C E E+02 clock D E E+04 clock E E E+05 total 430 chip MTBF 2.65E+02 calculated chip s mean time between failure, MTBF( would be MTBF( = =13 years while the actual chip s mean time between failure is 265 years. Hence traditional chip mean time between failures calculation, MTBF(C) is pessimistic and results in overdesign metaharden flops requirement or unnecessary high number of synchronizer stages required per synchronizer chain which will degrade the system performance. VI. TOTAL PRODUCTS MTBF REQUIREMENT For a product that consists of L counts of unique chips with different chip level s mean time between failures denoted as,the product s mean times between failures, MTBF( can be derived as: MTBF( )= (17) The equation (17) is valid under the hypothesis of independent failure which may not always be the case. Based on equation (17), it is concluded that for total L chip in a product, the effective mean time between failure corresponds to the th of the harmonic means of all chips MTBFs and the performance of the product s mean time between failure is dominated by the performance of the worst chip s MTBF in the product.example of the product mean time between failure is shown in table 6. TABLE 6 PRODUCT S MEAN TIME BETWEEN FAILURE chip chip count single chip MTBF (Year) MTBF per chip per product (Year) chip A E E+03 chip B E E+02 chip C E E+03 chip E E E+03 total 7 product MTBF 3.00E+02 From table 6, it is shown that the effective chip mean time between failure, MTBF (C) reduces when the chip used in a product is higher than 1. If there is total of K counts of the same products and operating in the field, the total product mean time between failure, can be derived as: MTBF(TP)= (18) Assuming a total of 80 products delivered and operating in the field, the effective chip s mean time between failures per total product is shown in Table 7. From Table 5, based on the traditional method, the 753

6 TABE 7 PRODUCT S MEAN TIME BETWEEN FAILURE MTBF per total chip in chip single chip MTBF per chip per total product chip count MTBF (Year) product (Year) (Year) chip A E E E+01 chip B E E E+00 chip C E E E+01 chip E E E E+01 total 7 product MTBF 3.00E E+00 From table 7, it is observed that chip B has the worst chip level s mean time between failure among the rest of other chips. The effective total product mean time between failure is merely 3.76 years for total of 80 products shipped and operating in the field. This implies that for every 2.5 weeks, one product will experience a mysterious failure in the field. An IC supplier typically has the visibility of the chip consumption in the targeted market segment as well as the required operating time in each market segment during the chip design phase. The required operating time in various market segments is shown in Table 8. TABLE 8 RELIABILITY REQUIREMENT FOR DIFFERENT MARKET SEGMENT If the chip s mean time between failure, MTBF(C) is improved by a factor of total chip count in total product, the total mean time between failure for total product is shown in Table 9. TABLE 9 IMPROVED PRODUCT S MEAN TIME BETWEEN FAILURE single chip chip count MTBF (Year) MTBF per chip per MTBF per total product chip in total (Year) product (Year) chip chip A E E E+03 chip B E E E+03 chip C E E E+03 chip E E E E+03 total 7 product MTBF 3.83E E+02 From Table 9, it is observed that if chip s mean time between failure, MTBF(C) improved by a factor of total chip in total product, the total product mean time between failure, MTBF (TP) improves to 479 years. Hence every 479 years, a product will experience a mysterious failure in the field. The product is rest assured from asynchronous transfer reliability concern with improved mean time between failure, MTBF s value. VII. CONCLUSION The summaries of product level mean time between failures are: Chip level mean time between failure need to account for total chips shipped to total product s impact to ensure the product can operate reliably in the field. A single chip mean time between failure derivation based on worst synchronizer chain s mean time between failure divided by total synchronizer chains counts will result in over design metaharden flip-flops or higher synchronizer stages which will degrade the system performance. ACKNOWLEDGEMENTS Thanks to Lai Kok Keong and Massimo Verita for the support given. REFERENCES [1] D. Kinniment, K. Heron and G. Russell, Measuring Deep Metastability, ASYNC,10pp-11, [2] C. Dike and E. Burton, Miller and noise effects in synchronizing flip-flop, JSSC, 34(6): ,1999. [3] S. Beer, R. Ginosar, M. Priel, R.Dobkin, A. Kolodny, An on-chip metastability measurement circuit to characterize synchronization behavior in 65nm, ISCAS, pp , [4] D. Chen, D. Singh et al. A comprehensive approach to modeling, characterizing and optimizing for metastability in FPGAs, FPGA 2010 [5] L.Kleeman and A. Cantoni, Metastable behavior in Digital Systems, IEEE Design and Test of Computers, 4(6), 4-19, 1987 [6] C. Brown and K. Feher, Measuring metastability and its effect on communication signal processing systems, IEEE Transactions on Instrumentationi and Measurement, 46(1), 1997 [7] D. Kinniment, Synchronization and Arbitration in Digital Systems, Wiley 2007 [8] S. Beer, R. Ginosar, et. al The Devolution of Synchronizers, ASYNC 2010 [9] Terrence Mak, Trunaction Error Analysis of MTBF Computation for Multi-Latch Synchronizers, Elsevier, Microelectronics Journal, pp. 1-10, 2011 [10] T.J Gabara, G.J Cyr and C.E Stroud, Metastability of CMOS Master-Slave flip-flops, IEEE Transactions on Circuits and Systems II-Analog and Digital Signal Processing, , 1992 [11] C. Myers, E Mercer and H. Jacobson, Verifying synchronization strategies in Formal Methods for Globally Asynchronous Locally Synchronous (GALS) Architecture, 2003 [12] I.W. Jones, S. Yang and M. Greenstreet, Synchronizer Behavior and Analysis, ASYNC, pp ,

MTBF Bounds for Multistage Synchronizers

MTBF Bounds for Multistage Synchronizers MTBF Bounds for Multistage Synchronizers Salomon Beer, Jerome Cox 2, Tom Chaney 2 and David M. Zar 2 EE Dept., Technion Israel Institute of Technology, Haifa, Israel, 2 Blendics Inc. St. Louis, Missouri,

More information

Metastability Analysis of Synchronizer

Metastability Analysis of Synchronizer Forn International Journal of Scientific Research in Computer Science and Engineering Research Paper Vol-1, Issue-3 ISSN: 2320 7639 Metastability Analysis of Synchronizer Ankush S. Patharkar *1 and V.

More information

Robust Synchronization using the Wagging Technique

Robust Synchronization using the Wagging Technique School of Electrical, Electronic & Computer Engineering Robust Synchronization using the Wagging Technique Mohammed Alshaikh, David Kinniment, and Alex Yakovlev Technical Report Series NCL-EECE-MSD-TR-2010-165

More information

Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky,

Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky, Timing Error Detection: An Adaptive Scheme To Combat Variability EE241 Final Report Nathan Narevsky and Richard Ott {nnarevsky, tomott}@berkeley.edu Abstract With the reduction of feature sizes, more sources

More information

CMOS Implementation of Reliable Synchronizer for Multi clock domain System-on-chip

CMOS Implementation of Reliable Synchronizer for Multi clock domain System-on-chip RESEARCH ARTICLE OPEN ACCESS CMOS Implementation of Reliable Synchronizer for Multi clock domain System-on-chip Vivek khetade 1, Dr. S.S. Limaye 2 Sarang Purnaye 3 1 Department of Electronic design Technology,

More information

FPGA TechNote: Asynchronous signals and Metastability

FPGA TechNote: Asynchronous signals and Metastability FPGA TechNote: Asynchronous signals and Metastability This Doulos FPGA TechNote gives a brief overview of metastability as it applies to the design of FPGAs. The first section introduces metastability

More information

EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP. Due İLKER KALYONCU, 10043

EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP. Due İLKER KALYONCU, 10043 EL302 DIGITAL INTEGRATED CIRCUITS LAB #3 CMOS EDGE TRIGGERED D FLIP-FLOP Due 16.05. İLKER KALYONCU, 10043 1. INTRODUCTION: In this project we are going to design a CMOS positive edge triggered master-slave

More information

Sequential Logic. E&CE 223 Digital Circuits and Systems (A. Kennings) Page 1

Sequential Logic. E&CE 223 Digital Circuits and Systems (A. Kennings) Page 1 Sequential Logic E&CE 223 igital Circuits and Systems (A. Kennings) Page 1 Sequential Circuits Have considered only combinational circuits in which circuit outputs are determined entirely by current circuit

More information

https://daffy1108.wordpress.com/2014/06/08/synchronizers-for-asynchronous-signals/

https://daffy1108.wordpress.com/2014/06/08/synchronizers-for-asynchronous-signals/ https://daffy1108.wordpress.com/2014/06/08/synchronizers-for-asynchronous-signals/ Synchronizers for Asynchronous Signals Asynchronous signals causes the big issue with clock domains, namely metastability.

More information

INTEGRATED CIRCUITS. AN219 A metastability primer Nov 15

INTEGRATED CIRCUITS. AN219 A metastability primer Nov 15 INTEGRATED CIRCUITS 1989 Nov 15 INTRODUCTION When using a latch or flip-flop in normal circumstances (i.e., when the device s setup and hold times are not being violated), the outputs will respond to a

More information

Design and Measurement of Synchronizers

Design and Measurement of Synchronizers School of Electrical, Electronic & Computer Engineering Design and Measurement of Synchronizers by Jun Zhou Technical Report Series NCL-EECE-MSD-TR-2008-138 November 2008 Contact: jun.zhou@ncl.ac.uk EPSRC

More information

Static Timing Analysis for Nanometer Designs

Static Timing Analysis for Nanometer Designs J. Bhasker Rakesh Chadha Static Timing Analysis for Nanometer Designs A Practical Approach 4y Spri ringer Contents Preface xv CHAPTER 1: Introduction / 1.1 Nanometer Designs 1 1.2 What is Static Timing

More information

ELE2120 Digital Circuits and Systems. Tutorial Note 7

ELE2120 Digital Circuits and Systems. Tutorial Note 7 ELE2120 Digital Circuits and Systems Tutorial Note 7 Outline 1. Sequential Circuit 2. Gated SR Latch 3. Gated D-latch 4. Edge-Triggered D Flip-Flop 5. Asynchronous and Synchronous reset Sequential Circuit

More information

CPS311 Lecture: Sequential Circuits

CPS311 Lecture: Sequential Circuits CPS311 Lecture: Sequential Circuits Last revised August 4, 2015 Objectives: 1. To introduce asynchronous and synchronous flip-flops (latches and pulsetriggered, plus asynchronous preset/clear) 2. To introduce

More information

RS flip-flop using NOR gate

RS flip-flop using NOR gate RS flip-flop using NOR gate Triggering and triggering methods Triggering : Applying train of pulses, to set or reset the memory cell is known as Triggering. Triggering methods:- There are basically two

More information

Introduction. NAND Gate Latch. Digital Logic Design 1 FLIP-FLOP. Digital Logic Design 1

Introduction. NAND Gate Latch.  Digital Logic Design 1 FLIP-FLOP. Digital Logic Design 1 2007 Introduction BK TP.HCM FLIP-FLOP So far we have seen Combinational Logic The output(s) depends only on the current values of the input variables Here we will look at Sequential Logic circuits The

More information

More on Flip-Flops Digital Design and Computer Architecture: ARM Edition 2015 Chapter 3 <98> 98

More on Flip-Flops Digital Design and Computer Architecture: ARM Edition 2015 Chapter 3 <98> 98 More on Flip-Flops Digital Design and Computer Architecture: ARM Edition 2015 Chapter 3 98 Review: Bit Storage SR latch S (set) Q R (reset) Level-sensitive SR latch S S1 C R R1 Q D C S R D latch Q

More information

ECE321 Electronics I

ECE321 Electronics I ECE321 Electronics I Lecture 25: Sequential Logic: Flip-flop Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Tuesday 2:00-3:00PM or by appointment E-mail: pzarkesh.unm.edu Slide: 1 Review of Last

More information

Unit 9 Latches and Flip-Flops. Dept. of Electrical and Computer Eng., NCTU 1

Unit 9 Latches and Flip-Flops. Dept. of Electrical and Computer Eng., NCTU 1 Unit 9 Latches and Flip-Flops Dept. of Electrical and Computer Eng., NCTU 1 9.1 Introduction Dept. of Electrical and Computer Eng., NCTU 2 What is the characteristic of sequential circuits in contrast

More information

L4: Sequential Building Blocks (Flip-flops, Latches and Registers)

L4: Sequential Building Blocks (Flip-flops, Latches and Registers) L4: Sequential Building Blocks (Flip-flops, Latches and Registers) Acknowledgements: Lecture material adapted from R. Katz, G. Borriello, Contemporary Logic esign (second edition), Prentice-Hall/Pearson

More information

Measurements of metastability in MUTEX on an FPGA

Measurements of metastability in MUTEX on an FPGA LETTER IEICE Electronics Express, Vol.15, No.1, 1 11 Measurements of metastability in MUTEX on an FPGA Nguyen Van Toan, Dam Minh Tung, and Jeong-Gun Lee a) E-SoC Lab/Smart Computing Lab, Dept. of Computer

More information

A Low-Power CMOS Flip-Flop for High Performance Processors

A Low-Power CMOS Flip-Flop for High Performance Processors A Low-Power CMOS Flip-Flop for High Performance Processors Preetisudha Meher, Kamala Kanta Mahapatra Dept. of Electronics and Telecommunication National Institute of Technology Rourkela, India Preetisudha1@gmail.com,

More information

Dual Edge Adaptive Pulse Triggered Flip-Flop for a High Speed and Low Power Applications

Dual Edge Adaptive Pulse Triggered Flip-Flop for a High Speed and Low Power Applications International Journal of Scientific and Research Publications, Volume 5, Issue 10, October 2015 1 Dual Edge Adaptive Pulse Triggered Flip-Flop for a High Speed and Low Power Applications S. Harish*, Dr.

More information

Report on 4-bit Counter design Report- 1, 2. Report on D- Flipflop. Course project for ECE533

Report on 4-bit Counter design Report- 1, 2. Report on D- Flipflop. Course project for ECE533 Report on 4-bit Counter design Report- 1, 2. Report on D- Flipflop Course project for ECE533 I. Objective: REPORT-I The objective of this project is to design a 4-bit counter and implement it into a chip

More information

Chapter 5 Flip-Flops and Related Devices

Chapter 5 Flip-Flops and Related Devices Chapter 5 Flip-Flops and Related Devices Chapter 5 Objectives Selected areas covered in this chapter: Constructing/analyzing operation of latch flip-flops made from NAND or NOR gates. Differences of synchronous/asynchronous

More information

DESIGN AND IMPLEMENTATION OF SYNCHRONOUS 4-BIT UP COUNTER USING 180NM CMOS PROCESS TECHNOLOGY

DESIGN AND IMPLEMENTATION OF SYNCHRONOUS 4-BIT UP COUNTER USING 180NM CMOS PROCESS TECHNOLOGY DESIGN AND IMPLEMENTATION OF SYNCHRONOUS 4-BIT UP COUNTER USING 180NM CMOS PROCESS TECHNOLOGY Yogita Hiremath 1, Akalpita L. Kulkarni 2, J. S. Baligar 3 1 PG Student, Dept. of ECE, Dr.AIT, Bangalore, Karnataka,

More information

A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY

A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY Ms. Chaitali V. Matey 1, Ms. Shraddha K. Mendhe 2, Mr. Sandip A.

More information

Laboratory 1 - Introduction to Digital Electronics and Lab Equipment (Logic Analyzers, Digital Oscilloscope, and FPGA-based Labkit)

Laboratory 1 - Introduction to Digital Electronics and Lab Equipment (Logic Analyzers, Digital Oscilloscope, and FPGA-based Labkit) Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science 6. - Introductory Digital Systems Laboratory (Spring 006) Laboratory - Introduction to Digital Electronics

More information

Lecture 21: Sequential Circuits. Review: Timing Definitions

Lecture 21: Sequential Circuits. Review: Timing Definitions Lecture 21: Sequential Circuits Setup and Hold time MS FF Power PC Pulsed FF HLFF, SFF, SAFF Source: Ch 7 J. Rabaey notes, Weste and Harris Notes Review: Timing efinitions T C : Propagation elay from Ck

More information

DEDICATED TO EMBEDDED SOLUTIONS

DEDICATED TO EMBEDDED SOLUTIONS DEDICATED TO EMBEDDED SOLUTIONS DESIGN SAFE FPGA INTERNAL CLOCK DOMAIN CROSSINGS ESPEN TALLAKSEN DATA RESPONS SCOPE Clock domain crossings (CDC) is probably the worst source for serious FPGA-bugs that

More information

CMOS Latches and Flip-Flops

CMOS Latches and Flip-Flops CMOS Latches and Flip-Flops João Canas Ferreira University of Porto Faculty of Engineering 2016-05-04 Topics 1 General Aspects 2 Circuits based on positive feedback 3 Circuits based on charge storage João

More information

CSE115: Digital Design Lecture 23: Latches & Flip-Flops

CSE115: Digital Design Lecture 23: Latches & Flip-Flops Faculty of Engineering CSE115: Digital Design Lecture 23: Latches & Flip-Flops Sections 7.1-7.2 Suggested Reading A Generic Digital Processor Building Blocks for Digital Architectures INPUT - OUTPUT Interconnect:

More information

Lecture 8: Sequential Logic

Lecture 8: Sequential Logic Lecture 8: Sequential Logic Last lecture discussed how we can use digital electronics to do combinatorial logic we designed circuits that gave an immediate output when presented with a given set of inputs

More information

DEPARTMENT OF ELECTRICAL &ELECTRONICS ENGINEERING DIGITAL DESIGN

DEPARTMENT OF ELECTRICAL &ELECTRONICS ENGINEERING DIGITAL DESIGN DEPARTMENT OF ELECTRICAL &ELECTRONICS ENGINEERING DIGITAL DESIGN Assoc. Prof. Dr. Burak Kelleci Spring 2018 OUTLINE Synchronous Logic Circuits Latch Flip-Flop Timing Counters Shift Register Synchronous

More information

data and is used in digital networks and storage devices. CRC s are easy to implement in binary

data and is used in digital networks and storage devices. CRC s are easy to implement in binary Introduction Cyclic redundancy check (CRC) is an error detecting code designed to detect changes in transmitted data and is used in digital networks and storage devices. CRC s are easy to implement in

More information

Asynchronous inputs. 9 - Metastability and Clock Recovery. A simple synchronizer. Only one synchronizer per input

Asynchronous inputs. 9 - Metastability and Clock Recovery. A simple synchronizer. Only one synchronizer per input 9 - Metastability and Clock Recovery Asynchronous inputs We will consider a number of issues related to asynchronous inputs, multiple clock domains, clock synchronisation and clock distribution. Useful

More information

RS flip-flop using NOR gate

RS flip-flop using NOR gate RS flip-flop using NOR gate Triggering and triggering methods Triggering : Applying train of pulses, to set or reset the memory cell is known as Triggering. Triggering methods:- There are basically two

More information

Clock Domain Crossing. Presented by Abramov B. 1

Clock Domain Crossing. Presented by Abramov B. 1 Clock Domain Crossing Presented by Abramov B. 1 Register Transfer Logic Logic R E G I S T E R Transfer Logic R E G I S T E R Presented by Abramov B. 2 RTL (cont) An RTL circuit is a digital circuit composed

More information

EITF35: Introduction to Structured VLSI Design

EITF35: Introduction to Structured VLSI Design EITF35: Introduction to Structured VLSI Design Part 4.2.1: Learn More Liang Liu liang.liu@eit.lth.se 1 Outline Crossing clock domain Reset, synchronous or asynchronous? 2 Why two DFFs? 3 Crossing clock

More information

Modifying the Scan Chains in Sequential Circuit to Reduce Leakage Current

Modifying the Scan Chains in Sequential Circuit to Reduce Leakage Current IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 3, Issue 1 (Sep. Oct. 2013), PP 01-09 e-issn: 2319 4200, p-issn No. : 2319 4197 Modifying the Scan Chains in Sequential Circuit to Reduce Leakage

More information

cascading flip-flops for proper operation clock skew Hardware description languages and sequential logic

cascading flip-flops for proper operation clock skew Hardware description languages and sequential logic equential logic equential circuits simple circuits with feedback latches edge-triggered flip-flops Timing methodologies cascading flip-flops for proper operation clock skew Basic registers shift registers

More information

Switching Circuits & Logic Design

Switching Circuits & Logic Design Switching Circuits & Logic Design Jie-Hong oland Jiang 江介宏 Department of Electrical Engineering National Taiwan University Fall 22 Latches and Flip-Flops http://www3.niaid.nih.gov/topics/malaria/lifecycle.htm

More information

EE178 Lecture Module 4. Eric Crabill SJSU / Xilinx Fall 2005

EE178 Lecture Module 4. Eric Crabill SJSU / Xilinx Fall 2005 EE178 Lecture Module 4 Eric Crabill SJSU / Xilinx Fall 2005 Lecture #9 Agenda Considerations for synchronizing signals. Clocks. Resets. Considerations for asynchronous inputs. Methods for crossing clock

More information

Parametric Optimization of Clocked Redundant Flip-Flop Using Transmission Gate

Parametric Optimization of Clocked Redundant Flip-Flop Using Transmission Gate Parametric Optimization of Clocked Redundant Flip-Flop Using Transmission Gate Sapna Sadhwani Student, Department of ECE Lakshmi Narain College of Technology Bhopal, India srsadhwani@gmail.comm Abstract

More information

Figure 9.1: A clock signal.

Figure 9.1: A clock signal. Chapter 9 Flip-Flops 9.1 The clock Synchronous circuits depend on a special signal called the clock. In practice, the clock is generated by rectifying and amplifying a signal generated by special non-digital

More information

A High-Resolution Flash Time-to-Digital Converter Taking Into Account Process Variability. Nikolaos Minas David Kinniment Keith Heron Gordon Russell

A High-Resolution Flash Time-to-Digital Converter Taking Into Account Process Variability. Nikolaos Minas David Kinniment Keith Heron Gordon Russell A High-Resolution Flash Time-to-Digital Converter Taking Into Account Process Variability Nikolaos Minas David Kinniment Keith Heron Gordon Russell Outline of Presentation Introduction Background in Time-to-Digital

More information

Digital System Design

Digital System Design Digital System Design by Dr. Lesley Shannon Email: lshannon@ensc.sfu.ca Course Website: http://www.ensc.sfu.ca/~lshannon/courses/ensc350 Simon Fraser University Slide Set: 8 Date: February 9, 2009 Timing

More information

EE178 Spring 2018 Lecture Module 5. Eric Crabill

EE178 Spring 2018 Lecture Module 5. Eric Crabill EE178 Spring 2018 Lecture Module 5 Eric Crabill Goals Considerations for synchronizing signals Clocks Resets Considerations for asynchronous inputs Methods for crossing clock domains Clocks The academic

More information

UNIT III COMBINATIONAL AND SEQUENTIAL CIRCUIT DESIGN

UNIT III COMBINATIONAL AND SEQUENTIAL CIRCUIT DESIGN UNIT III COMBINATIONAL AND SEQUENTIAL CIRCUIT DESIGN Part A (2 Marks) 1. What is a BiCMOS? BiCMOS is a type of integrated circuit that uses both bipolar and CMOS technologies. 2. What are the problems

More information

D Latch (Transparent Latch)

D Latch (Transparent Latch) D Latch (Transparent Latch) -One way to eliminate the undesirable condition of the indeterminate state in the SR latch is to ensure that inputs S and R are never equal to 1 at the same time. This is done

More information

Unit 11. Latches and Flip-Flops

Unit 11. Latches and Flip-Flops Unit 11 Latches and Flip-Flops 1 Combinational Circuits A combinational circuit consists of logic gates whose outputs, at any time, are determined by combining the values of the inputs. For n input variables,

More information

Design of Low Power D-Flip Flop Using True Single Phase Clock (TSPC)

Design of Low Power D-Flip Flop Using True Single Phase Clock (TSPC) Design of Low Power D-Flip Flop Using True Single Phase Clock (TSPC) Swetha Kanchimani M.Tech (VLSI Design), Mrs.Syamala Kanchimani Associate Professor, Miss.Godugu Uma Madhuri Assistant Professor, ABSTRACT:

More information

FLIP-FLOPS AND RELATED DEVICES

FLIP-FLOPS AND RELATED DEVICES C H A P T E R 5 FLIP-FLOPS AND RELATED DEVICES OUTLINE 5- NAND Gate Latch 5-2 NOR Gate Latch 5-3 Troubleshooting Case Study 5-4 Digital Pulses 5-5 Clock Signals and Clocked Flip-Flops 5-6 Clocked S-R Flip-Flop

More information

Lecture 13: Clock and Synchronization. TIE Logic Synthesis Arto Perttula Tampere University of Technology Spring 2017

Lecture 13: Clock and Synchronization. TIE Logic Synthesis Arto Perttula Tampere University of Technology Spring 2017 Lecture 13: Clock and Synchronization TIE-50206 Logic Synthesis Arto Perttula Tampere University of Technology Spring 2017 Acknowledgements Most slides were prepared by Dr. Ari Kulmala The content of the

More information

Leakage Current Reduction in Sequential Circuits by Modifying the Scan Chains

Leakage Current Reduction in Sequential Circuits by Modifying the Scan Chains eakage Current Reduction in Sequential s by Modifying the Scan Chains Afshin Abdollahi University of Southern California (3) 592-3886 afshin@usc.edu Farzan Fallah Fujitsu aboratories of America (48) 53-4544

More information

Cascadable 4-Bit Comparator

Cascadable 4-Bit Comparator EE 415 Project Report for Cascadable 4-Bit Comparator By William Dixon Mailbox 509 June 1, 2010 INTRODUCTION... 3 THE CASCADABLE 4-BIT COMPARATOR... 4 CONCEPT OF OPERATION... 4 LIMITATIONS... 5 POSSIBILITIES

More information

EE273 Lecture 11 Pipelined Timing Closed-Loop Timing November 2, Today s Assignment

EE273 Lecture 11 Pipelined Timing Closed-Loop Timing November 2, Today s Assignment EE273 Lecture 11 Pipelined Timing Closed-Loop Timing November 2, 1998 William J. ally Computer Systems Laboratory Stanford University billd@csl.stanford.edu Copyright (C) by William J. ally, All Rights

More information

Electrical & Computer Engineering ECE 491. Introduction to VLSI. Report 1

Electrical & Computer Engineering ECE 491. Introduction to VLSI. Report 1 Electrical & Computer Engineering ECE 491 Introduction to VLSI Report 1 Marva` Morrow INTRODUCTION Flip-flops are synchronous bistable devices (multivibrator) that operate as memory elements. A bistable

More information

CMOS Layout Design and Performance Analysis for Synchronization Failures using 50nm Technology

CMOS Layout Design and Performance Analysis for Synchronization Failures using 50nm Technology CMOS Layout Design and Performance Analysis for Synchronization Failures using 50nm Technology Ambresh Patel IV Sem M.tech VLSI SSSCE, Anand Kumar Singh Asst. Prof.EC Deptt. SSSCE, Sachin Bandewar Asst.

More information

Combinational vs Sequential

Combinational vs Sequential Combinational vs Sequential inputs X Combinational Circuits outputs Z A combinational circuit: At any time, outputs depends only on inputs Changing inputs changes outputs No regard for previous inputs

More information

Logic and Computer Design Fundamentals. Chapter 7. Registers and Counters

Logic and Computer Design Fundamentals. Chapter 7. Registers and Counters Logic and Computer Design Fundamentals Chapter 7 Registers and Counters Registers Register a collection of binary storage elements In theory, a register is sequential logic which can be defined by a state

More information

Power Reduction and Glitch free MUX based Digitally Controlled Delay-Lines

Power Reduction and Glitch free MUX based Digitally Controlled Delay-Lines Power Reduction and Glitch free MUX based Digitally Controlled Delay-Lines MARY PAUL 1, AMRUTHA. E 2 1 (PG Student, Dhanalakshmi Srinivasan College of Engineering, Coimbatore) 2 (Assistant Professor, Dhanalakshmi

More information

Software Engineering 2DA4. Slides 9: Asynchronous Sequential Circuits

Software Engineering 2DA4. Slides 9: Asynchronous Sequential Circuits Software Engineering 2DA4 Slides 9: Asynchronous Sequential Circuits Dr. Ryan Leduc Department of Computing and Software McMaster University Material based on S. Brown and Z. Vranesic, Fundamentals of

More information

Flip-Flops. Because of this the state of the latch may keep changing in circuits with feedback as long as the clock pulse remains active.

Flip-Flops. Because of this the state of the latch may keep changing in circuits with feedback as long as the clock pulse remains active. Flip-Flops Objectives The objectives of this lesson are to study: 1. Latches versus Flip-Flops 2. Master-Slave Flip-Flops 3. Timing Analysis of Master-Slave Flip-Flops 4. Different Types of Master-Slave

More information

DIGITAL SYSTEM FUNDAMENTALS (ECE421) DIGITAL ELECTRONICS FUNDAMENTAL (ECE422) LATCHES and FLIP-FLOPS

DIGITAL SYSTEM FUNDAMENTALS (ECE421) DIGITAL ELECTRONICS FUNDAMENTAL (ECE422) LATCHES and FLIP-FLOPS COURSE / CODE DIGITAL SYSTEM FUNDAMENTALS (ECE421) DIGITAL ELECTRONICS FUNDAMENTAL (ECE422) LATCHES and FLIP-FLOPS In the same way that logic gates are the building blocks of combinatorial circuits, latches

More information

LFSR Counter Implementation in CMOS VLSI

LFSR Counter Implementation in CMOS VLSI LFSR Counter Implementation in CMOS VLSI Doshi N. A., Dhobale S. B., and Kakade S. R. Abstract As chip manufacturing technology is suddenly on the threshold of major evaluation, which shrinks chip in size

More information

The outputs are formed by a combinational logic function of the inputs to the circuit or the values stored in the flip-flops (or both).

The outputs are formed by a combinational logic function of the inputs to the circuit or the values stored in the flip-flops (or both). 1 The outputs are formed by a combinational logic function of the inputs to the circuit or the values stored in the flip-flops (or both). The value that is stored in a flip-flop when the clock pulse occurs

More information

Lecture 12: Clock and Synchronization. TIE Logic Synthesis Arto Perttula Tampere University of Technology Spring 2018

Lecture 12: Clock and Synchronization. TIE Logic Synthesis Arto Perttula Tampere University of Technology Spring 2018 Lecture 12: Clock and Synchronization TIE-50206 Logic Synthesis Arto Perttula Tampere University of Technology Spring 2018 Acknowledgements Most slides were prepared by Dr. Ari Kulmala The content of the

More information

Synchronous Sequential Logic

Synchronous Sequential Logic Synchronous Sequential Logic -A Sequential Circuit consists of a combinational circuit to which storage elements are connected to form a feedback path. The storage elements are devices capable of storing

More information

Sequential Circuits: Latches & Flip-Flops

Sequential Circuits: Latches & Flip-Flops Sequential Circuits: Latches & Flip-Flops Overview Storage Elements Latches SR, JK, D, and T Characteristic Tables, Characteristic Equations, Eecution Tables, and State Diagrams Standard Symbols Flip-Flops

More information

Good afternoon! My name is Swetha Mettala Gilla you can call me Swetha.

Good afternoon! My name is Swetha Mettala Gilla you can call me Swetha. Good afternoon! My name is Swetha Mettala Gilla you can call me Swetha. I m a student at the Electrical and Computer Engineering Department and at the Asynchronous Research Center. This talk is about the

More information

EECS150 - Digital Design Lecture 15 Finite State Machines. Announcements

EECS150 - Digital Design Lecture 15 Finite State Machines. Announcements EECS150 - Digital Design Lecture 15 Finite State Machines October 18, 2011 Elad Alon Electrical Engineering and Computer Sciences University of California, Berkeley http://www-inst.eecs.berkeley.edu/~cs150

More information

CS/EE 6710 Digital VLSI Design CAD Assignment #3 Due Thursday September 21 st, 5:00pm

CS/EE 6710 Digital VLSI Design CAD Assignment #3 Due Thursday September 21 st, 5:00pm CS/EE 6710 Digital VLSI Design CAD Assignment #3 Due Thursday September 21 st, 5:00pm Overview: In this assignment you will design a register cell. This cell should be a single-bit edge-triggered D-type

More information

DIGITAL SYSTEM FUNDAMENTALS (ECE421) DIGITAL ELECTRONICS FUNDAMENTAL (ECE422) COUNTERS

DIGITAL SYSTEM FUNDAMENTALS (ECE421) DIGITAL ELECTRONICS FUNDAMENTAL (ECE422) COUNTERS COURSE / CODE DIGITAL SYSTEM FUNDAMENTALS (ECE421) DIGITAL ELECTRONICS FUNDAMENTAL (ECE422) COUNTERS One common requirement in digital circuits is counting, both forward and backward. Digital clocks and

More information

EECS150 - Digital Design Lecture 17 - Circuit Timing. Performance, Cost, Power

EECS150 - Digital Design Lecture 17 - Circuit Timing. Performance, Cost, Power EECS150 - Digital Design Lecture 17 - Circuit Timing March 10, 2011 John Wawrzynek Spring 2011 EECS150 - Lec16-timing Page 1 Performance, Cost, Power How do we measure performance? operations/sec? cycles/sec?

More information

Design of a Low Power Four-Bit Binary Counter Using Enhancement Type Mosfet

Design of a Low Power Four-Bit Binary Counter Using Enhancement Type Mosfet Design of a Low Power Four-Bit Binary Counter Using Enhancement Type Mosfet Praween Sinha Department of Electronics & Communication Engineering Maharaja Agrasen Institute Of Technology, Rohini sector -22,

More information

DIGITAL CIRCUIT LOGIC UNIT 11: SEQUENTIAL CIRCUITS (LATCHES AND FLIP-FLOPS)

DIGITAL CIRCUIT LOGIC UNIT 11: SEQUENTIAL CIRCUITS (LATCHES AND FLIP-FLOPS) DIGITAL CIRCUIT LOGIC UNIT 11: SEQUENTIAL CIRCUITS (LATCHES AND FLIP-FLOPS) 1 iclicker Question 16 What should be the MUX inputs to implement the following function? (4 minutes) f A, B, C = m(0,2,5,6,7)

More information

Module for Lab #16: Basic Memory Devices

Module for Lab #16: Basic Memory Devices Module for Lab #16: Basic Memory evices evision: November 14, 2004 LAB Overview This lab introduces the concept of electronic memory. Memory circuits store the voltage present on an input signal (LHV or

More information

Chapter 6. Flip-Flops and Simple Flip-Flop Applications

Chapter 6. Flip-Flops and Simple Flip-Flop Applications Chapter 6 Flip-Flops and Simple Flip-Flop Applications Basic bistable element It is a circuit having two stable conditions (states). It can be used to store binary symbols. J. C. Huang, 2004 Digital Logic

More information

EEC 116 Fall 2011 Lab #5: Pipelined 32b Adder

EEC 116 Fall 2011 Lab #5: Pipelined 32b Adder EEC 116 Fall 2011 Lab #5: Pipelined 32b Adder Dept. of Electrical and Computer Engineering University of California, Davis Issued: November 2, 2011 Due: November 16, 2011, 4PM Reading: Rabaey Sections

More information

Digital Electronics II 2016 Imperial College London Page 1 of 8

Digital Electronics II 2016 Imperial College London Page 1 of 8 Information for Candidates: The following notation is used in this paper: 1. Unless explicitly indicated otherwise, digital circuits are drawn with their inputs on the left and their outputs on the right.

More information

Synchronizers and Arbiters

Synchronizers and Arbiters Synchronizers and Arbiters David Kinniment University of Newcastle Tutorial 7 April 2008 1 Outline What s the problem? Why does it matter? Synchronizer and arbiter circuits Noise, and its effects Latency,

More information

Design of a Low Power and Area Efficient Flip Flop With Embedded Logic Module

Design of a Low Power and Area Efficient Flip Flop With Embedded Logic Module IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 10, Issue 6, Ver. II (Nov - Dec.2015), PP 40-50 www.iosrjournals.org Design of a Low Power

More information

11. Sequential Elements

11. Sequential Elements 11. Sequential Elements Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 October 11, 2017 ECE Department, University of Texas at Austin

More information

II. ANALYSIS I. INTRODUCTION

II. ANALYSIS I. INTRODUCTION Characterizing Dynamic and Leakage Power Behavior in Flip-Flops R. Ramanarayanan, N. Vijaykrishnan and M. J. Irwin Dept. of Computer Science and Engineering Pennsylvania State University, PA 1682 Abstract

More information

Project 6: Latches and flip-flops

Project 6: Latches and flip-flops Project 6: Latches and flip-flops Yuan Ze University epartment of Computer Engineering and Science Copyright by Rung-Bin Lin, 1999 All rights reserved ate out: 06/5/2003 ate due: 06/25/2003 Purpose: This

More information

Digital Logic & Computer Design CS Professor Dan Moldovan Spring Chapter 3 :: Sequential Logic Design

Digital Logic & Computer Design CS Professor Dan Moldovan Spring Chapter 3 :: Sequential Logic Design igital Logic & Computer esign CS 4341 Professor an Moldovan Spring 21 Copyright 27 Elsevier 3- Chapter 3 :: Sequential Logic esign igital esign and Computer Architecture avid Money Harris and Sarah

More information

MC9211 Computer Organization

MC9211 Computer Organization MC9211 Computer Organization Unit 2 : Combinational and Sequential Circuits Lesson2 : Sequential Circuits (KSB) (MCA) (2009-12/ODD) (2009-10/1 A&B) Coverage Lesson2 Outlines the formal procedures for the

More information

A FOUR GAIN READOUT INTEGRATED CIRCUIT : FRIC 96_1

A FOUR GAIN READOUT INTEGRATED CIRCUIT : FRIC 96_1 A FOUR GAIN READOUT INTEGRATED CIRCUIT : FRIC 96_1 J. M. Bussat 1, G. Bohner 1, O. Rossetto 2, D. Dzahini 2, J. Lecoq 1, J. Pouxe 2, J. Colas 1, (1) L. A. P. P. Annecy-le-vieux, France (2) I. S. N. Grenoble,

More information

HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP

HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP HIGH PERFORMANCE AND LOW POWER ASYNCHRONOUS DATA SAMPLING WITH POWER GATED DOUBLE EDGE TRIGGERED FLIP-FLOP 1 R.Ramya, 2 C.Hamsaveni 1,2 PG Scholar, Department of ECE, Hindusthan Institute Of Technology,

More information

(CSC-3501) Lecture 7 (07 Feb 2008) Seung-Jong Park (Jay) CSC S.J. Park. Announcement

(CSC-3501) Lecture 7 (07 Feb 2008) Seung-Jong Park (Jay)  CSC S.J. Park. Announcement Seung-Jong Park (Jay) http://www.csc.lsu.edu/~sjpark Computer Architecture (CSC-3501) Lecture 7 (07 Feb 2008) 1 Announcement 2 1 Combinational vs. Sequential Logic Combinational Logic Memoryless Outputs

More information

CSE Latches and Flip-flops Dr. Izadi. NOR gate property: A B Z Cross coupled NOR gates: S M S R Q M

CSE Latches and Flip-flops Dr. Izadi. NOR gate property: A B Z Cross coupled NOR gates: S M S R Q M CSE-4523 Latches and Flip-flops Dr. Izadi NOR gate property: A B Z A B Z Cross coupled NOR gates: S M S R M R S M R S R S R M S S M R R S ' Gate R Gate S R S G R S R (t+) S G R Flip_flops:. S-R flip-flop

More information

Low Power Approach of Clock Gating in Synchronous System like FIFO: A Novel Clock Gating Approach and Comparative Analysis

Low Power Approach of Clock Gating in Synchronous System like FIFO: A Novel Clock Gating Approach and Comparative Analysis Low Power Approach of Clock Gating in Synchronous System like FIFO: A Novel Clock Gating Approach and Comparative Analysis Abstract- A new technique of clock is presented to reduce dynamic power consumption.

More information

2.6 Reset Design Strategy

2.6 Reset Design Strategy 2.6 Reset esign Strategy Many design issues must be considered before choosing a reset strategy for an ASIC design, such as whether to use synchronous or asynchronous resets, will every flipflop receive

More information

EEC 118 Lecture #9: Sequential Logic. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

EEC 118 Lecture #9: Sequential Logic. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation EEC 118 Lecture #9: Sequential Logic Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation Outline Review: Static CMOS Logic Finish Static CMOS transient analysis Sequential

More information

INTRODUCTION TO SEQUENTIAL CIRCUITS

INTRODUCTION TO SEQUENTIAL CIRCUITS NOTE: Explanation Refer Class Notes Digital Circuits(15EECC203) INTRODUCTION TO SEQUENTIAL CIRCUITS by Nagaraj Vannal, Asst.Professor, School of Electronics Engineering, K.L.E. Technological University,

More information

ELCT201: DIGITAL LOGIC DESIGN

ELCT201: DIGITAL LOGIC DESIGN ELCT201: DIGITAL LOGIC DESIGN Dr. Eng. Haitham Omran, haitham.omran@guc.edu.eg Dr. Eng. Wassim Alexan, wassim.joseph@guc.edu.eg Lecture 6 Following the slides of Dr. Ahmed H. Madian ذو الحجة 1438 ه Winter

More information

Digital Fundamentals

Digital Fundamentals igital Fundamentals Tenth Edition Floyd Chapter 7 Modified by Yuttapong Jiraraksopakun Floyd, igital Fundamentals, 10 th 2008 Pearson Education ENE, KMUTT ed 2009 Summary Latches A latch is a temporary

More information

A Modified Static Contention Free Single Phase Clocked Flip-flop Design for Low Power Applications

A Modified Static Contention Free Single Phase Clocked Flip-flop Design for Low Power Applications JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.8, NO.5, OCTOBER, 08 ISSN(Print) 598-657 https://doi.org/57/jsts.08.8.5.640 ISSN(Online) -4866 A Modified Static Contention Free Single Phase Clocked

More information

EE-382M VLSI II FLIP-FLOPS

EE-382M VLSI II FLIP-FLOPS EE-382M VLSI II FLIP-FLOPS Gian Gerosa, Intel Fall 2008 EE 382M Class Notes Page # 1 / 31 OUTLINE Trends LATCH Operation FLOP Timing Diagrams & Characterization Transfer-Gate Master-Slave FLIP-FLOP Merged

More information