Chip-Level DFT: Some New, And Not So New, Challenges

Size: px
Start display at page:

Download "Chip-Level DFT: Some New, And Not So New, Challenges"

Transcription

1 2004 Southwest DFT Symposium B A DFT Open Day Chip-Level DFT: Some New, And Not So New, Challenges Ben Bennetts, DFT Consultant Bennetts Associates, UK Tel: ben@dft.co.uk Slide 1 Agenda Functional versus Structural or or Functional plus Structural test Scan tests fail, but is is it it a reject? Internal scan protocols Testable core-based design: IEEE (P) Designing testable asynchronous sequential circuits Analog Mixed-Signal BIST techniques. Slide 2 Bennetts Associates,2004 Page 1

2 2004 Southwest DFT Symposium Defects In, Fault Models Out Defects that do not map to fault models D F E A Defects that F U map to E L fault models C T T S S Fault models that do not map to defects There are some defects that do not map to a simple fault model There are some fault models that do not map to a physical defect Determining these two sets is difficult!! Slide 3 B A Review of Test Objectives: Digital Functional Test Objective Conformance to Design Spec? Does it it do do what is is designed to to do, at at the right time? Functional versus Structural test? See ITC01, P16.1 (Motorola) ITC02, P16.3 (Intel) Did I I build it it correctly? Structural Test Objective Conformance to Build Spec? Slide 4 Bennetts Associates,2004 Page 2

3 2004 Southwest DFT Symposium Using Functional Patterns (ITC01, P16.1*) Functional patterns were drawn from the designer s knowledge of the device and then passed through a fault simulator (to assess stuck-at and at-speed coverage) and finally translated to a target tester. Technique was based on RTL code coverage plus formal verification patterns Motorola s conclusion: scan can replace functional if the stuck-at coverage is >98% and transition and path delay coverage is >80% * Ken Tumin et al., MMC2107 Microcontroller) Slide 5 Question 1 Will we ever figure out the right balance of structural and functional tests? Slide 6 Bennetts Associates,2004 Page 3

4 2004 Southwest DFT Symposium Agenda Functional versus Structural or or Functional plus Structural test Scan tests fail, but is is it it a reject? Internal scan protocols Testable core-based design: IEEE (P) Designing testable asynchronous sequential circuits Analog Mixed-Signal BIST techniques. Slide 7 Scan Chains: Unnatural Paths Open Open the the door door if if closed closed Open Open the the door door if if closing closing Do Do not not close close the the door door if if opening Close Close the the door. door. Ref: Rearick, ITC 2001, P Slide 8 Bennetts Associates,2004 Page 4

5 2004 Southwest DFT Symposium B A Garage Door Controller B = 0 B = L = 0 B = 1 or L = 1 Open 00 Opening 11 B = 1 B = 1 Not Allowed B = 1 Closing 01 Closed 10 L = 1 B = L = 0 Garage door open/close B (Button) starts a change L (Limit) stops the door movement B = 0 XY Slide 9 Garage Door: Circuit Implementation Scan Chain Lower D Y Clk Q Q Limit Button Slow-To-Fall fault D X Q N G Raise Clk Q Slide 10 Bennetts Associates,2004 Page 5

6 2004 Southwest DFT Symposium Nonsensical Test A Slow-To-Fall transition fault on node N requires the following sequence: {1,1} to {0,1} on {X, Y} This sequence never occurs naturally. Question: if this test fails, should the device be rejected? Functional false path B = 0 Up B = 1 Closing B = L = B = L = 0 B = 1 or L = 1 Opening 11 B = 1 Not Allowed B = 1 Down 10 L = 1 B = 0 Slide 11 Question 2 If If the chip does what it s supposed to do, do we care about non-functional defects? Slide 12 Bennetts Associates,2004 Page 6

7 2004 Southwest DFT Symposium Agenda Functional versus Structural or or Functional plus Structural test Scan tests fail, but is is it it a reject? Internal scan protocols Testable core-based design: IEEE (P) Designing testable asynchronous sequential circuits Analog Mixed-Signal BIST techniques. Slide 13 B A General Scenario for Applying Delay Tests PPIs PIs PPOs S T I M U L U S Circuit-Under-Test (Combinational Circuit) R E S P O N S E Load stimulus into input scan chain and update to the CUT POs Capture response from CUT into output scan chain and scan out Slide 14 Bennetts Associates,2004 Page 7

8 2004 Southwest DFT Symposium Applying Deterministic At-Speed Tests There are three major techniques but they have many different names Launch-On-Shift (aka Launch From Shift, Launch-Off-Last-Shift, Shift- Based Testing, Transition Shifting, Scan Shifting, Last-Shift Launch, System Clock launch, and Skewed Load Test) Enhanced Scan, aka Buffering The Flip-Flops Launch-On-Capture (aka Launch From Capture, Launch-Off-Capture, Double Capture Clock, Testing through the Functional Path, Two Sample, Functional Justification, Broad-Side Test, Clock Launch, Last Shift Launch, and System Launch) Help!! aka = also known as Slide 15 Launch-On-Shift Timing Diagram Launch Initialise Pattern, T1 Launch Propagate Pattern, T2 Timed Capture Response Clock Launch - 1 Launch Capture Scan Enable Scan Mode Functional Mode Beware: Timing Timing of of the the Scan_Enable signal signal relative relative to to the the timing timing of of the the capture capture clock clock and and the the set-up set-up and and hold hold times times for for the the capturing and and transmitting FFs, FFs, and and Timing Timing of of any any value value changes on on the the PIs PIs relative relative to to the the scan scan clock, clock, especially if if the the scan scan clock clock is is internally derived derived via via a (programmable) PLL PLL Slide 16 Bennetts Associates,2004 Page 8

9 2004 Southwest DFT Symposium Launch-On-Capture Solution (1) PIs Testing C1 for propagation delay faults. Assume Parallel Load (PL) into Reg1 T2 on PL Clock R e g 1 T1 T2 C1 POs R1 R2 R e g 2 Serial load T1 into Reg1/PIs Set PL values to T2 First capture pulse: Capture R1 into Reg2, Capture T2 into Reg1 Second capture pulse: Capture R2 (response to T2) into Reg2 and scan out T1 to C1 to R1 Set PIs to T2 values R1 into Reg2 T2 into Reg1 Clock Launch Capture1 Capture2 R2 into Reg2 Scan out R2 Scan Enable Scan Mode Functional Mode Slide 17 Launch-On-Capture Solution (2) PIs PIs Testing C1 for propagation delay faults PL R e g 0 T2* T2 R R T1 R1 e e S3 g g 1 T2 R2 2 C0 C1 Serial load T1 into Reg1 Serial load T2* into Reg0 (T2* becomes T2 at C0 output) First capture pulse: Capture R1 into Reg2, Capture T2 into Reg1 Clock POs POs Second capture pulse: Capture R2 (response to T2) into Reg2 and scan out. Clock T1 to C1 to R1 T2* to C0 to T2 R1 into Reg2 T2 into Reg1 Launch Capture1 Capture2 R2 into Reg2 Scan out Reg2 Scan Enable Scan Mode Functional Mode Slide 18 Bennetts Associates,2004 Page 9

10 2004 Southwest DFT Symposium Question 3 Is it it time to nail the definitions of protocols to support at-speed tests through scan chain? Slide 19 Agenda Functional versus Structural or or Functional plus Structural test Scan tests fail, but is is it it a reject? Internal scan protocols Testable core-based design: IEEE (P) Designing testable asynchronous sequential circuits Analog Mixed-Signal BIST techniques. Slide 20 Bennetts Associates,2004 Page 10

11 2004 Southwest DFT Symposium B A P1500 Scalable Architecture Source/Sink (Stimulus/Expected Response) On-chip User-Defined Test Test Access Access Mechanism (TAM) (TAM) (e.g. (e.g. Direct, Direct, Indirect Indirect via via mux, mux, System System Bus, Bus, Test Test Bus, Bus, etc) etc) TAM in TAM out TAM in TAM out TAM in TAM out F in WBR WBR WBR Core F in Core F in Core 1 2 n F out F out WIR WIR WSI WSO WSI WSO WSI Standard P1500 P1500 Wrapper Serial Serial Port Port Wrapper Controls WIR F out WSO SOC Slide 21 WBR Operating Modes: Normal TAM in TAM out CFI CFO WSI WBYPASS WIR WSO Control Normal Slide 22 Bennetts Associates,2004 Page 11

12 2004 Southwest DFT Symposium WBR Operating Modes: Inward Facing TAM in TAM out WSI WBYPASS WIR WSO Control Inward facing Slide 23 WBR Operating Modes: Outward Facing TAM in TAM out WSI WBYPASS WIR WSO Control Outward facing Slide 24 Bennetts Associates,2004 Page 12

13 2004 Southwest DFT Symposium WBR Operating Modes: Safe Mode TAM in TAM out WSI WBYPASS WIR WSO Control Safe (Non-Hazardous) Slide 25 P1500: Status Draft 0.8, ballot passed, mid-february Now responding to reviewer's comments Aim: to submit to IEEE SA end-q2 for final approval Slide 26 Bennetts Associates,2004 Page 13

14 2004 Southwest DFT Symposium Question 4 Who will be the first to (a) support IEEE 1500 and (b) implement IEEE 1500? Slide 27 Agenda Functional versus Structural or or Functional plus Structural test Scan tests fail, but is is it it a reject? Internal scan protocols Testable core-based design: IEEE (P) Designing testable asynchronous sequential circuits Analog Mixed-Signal BIST techniques. Slide 28 Bennetts Associates,2004 Page 14

15 2004 Southwest DFT Symposium Testing Asynchronous Logic Circuits - 1 Asynchronous logic, also known as clockless logic is notoriously difficult to test DFT guideline: Don t design asynchronous logic!! XXX device was not asynchronous but was similar from the point of view of test and testability issues. Domino logic with 200 micro-clock domains Therefore, useful to see if there have been any significant breakthroughs Short answer: no!! Slide 29 Testing Asynchronous Logic Circuits - 2 Three special issues of D&TC on asynchronous logic design Summer, 1994, six articles Two article comment briefly on test issues Spring, 1995, three articles No comment Nov-Dec, 2003, six articles No comment, and no response to my !! Conclusion: no significant breakthroughs Slide 30 Bennetts Associates,2004 Page 15

16 2004 Southwest DFT Symposium Question 5 Is testable asynchronous logic an unattainable goal? Slide 31 Agenda Functional versus Structural or or Functional plus Structural test Scan tests fail, but is is it it a reject? Internal scan protocols Testable core-based design: IEEE (P) Designing testable asynchronous sequential circuits Analog Mixed-Signal BIST techniques. Slide 32 Bennetts Associates,2004 Page 16

17 2004 Southwest DFT Symposium B A The Objectives of AMS-BIST Functional Test Objective Conformance to Design Spec? Does it it do do what is is designed to to do, at at the right time? Did I I build it it correctly? Structural Test Objective Conformance to Build Spec? Slide 33 Purpose of AMS-BIST The fundamental purpose of AMS-BIST is to perform a functional checkout (i.e. measurement of circuit specifications), not a structural checkout (i.e. targeted on specific manufacturing defects). Example: a PLL check will measure characteristics such as loop gain, lock range, lock time and jitter. Slide 34 Bennetts Associates,2004 Page 17

18 2004 Southwest DFT Symposium AMS-BIST Elements Stimulus Source Analog Analog waveform Σ- Σ- bit bit stream stream ** DSP-based Response Capture And And Compare DSP-based Histogram Histogram Processing RMS RMS calculation Self-Test Controller Mode Control Initialisation Response Sampling Response Analysis Pass/Fail Output * See D&TC, July-August 2002, p25 Slide 35 Summary of AMS-BIST Techniques - 1 Voltage-BIST (V-BIST): voltage-based analog scan register based on sample-and-hold tap-off points Wey, IEEE Trans Instrum & Meas, 1990, pp Current-BIST (I-BIST): current-based analog scan register based on current storage cells Wey, IEEE Trans Instrum & Meas, 1992, pp Mixed-Signal BIST (MS-BIST): similar to VBIST but uses a bus for signal I/O to digiatl scan chain, not sample-and-hold Wurtz, IEEE Trans Instrum & Meas, 1993, pp Shieh at al., IEEE Trans Computers, 1998, pp DC-BIST: matrix checksum approach based on summing a number of internal DC values which should produce a 0-volt output Chatterjee etal., IEEE D&TC, 1996, pp Slide 36 Bennetts Associates,2004 Page 18

19 2004 Southwest DFT Symposium Summary of AMS-BIST Techniques - 2 Analog Unified BIST (AU-BIST): BIST circuitry monitors the balance of common-mode signals, defect oriented Mir et al., JETTA, 1996, pp Hybrid BIST (H-BIST): digital BIST circuitry to test analog circuitry thru ADC/DAC conversion Ohletz, ETC, 1991, P12.2 Mixed Analog-Digital BIST (MAD-BIST): digital stimulus generator/response analyser using Σ- techniques Toner and Roberts, ITRC 1993, pp adc-bist (LogicVision): ramp stimulus with polynomial fitting to output to determine DC offset, gain, harmonic distortion from the coefficients Sunter & Nagi, ITC, 1997 Slide 37 Summary of AMS-BIST Techniques - 3 Histogram-based Analog BIST (HA-BIST) : collection of a number of samples and comparison with the histogram generated from a KGD Frisch & Almy, ITC, 1997 Partial BIST: reduced sampling technique, LSBs recorded for low-frequency stimulus De Vries et al., ETW, 1997 BISTmaxx (Opmaxx): based on deliberately causing oscillation in the CUT Arabi & Kaminska, ITC, 1997, pp With Acknowledgment to Andrew Richardson, Lancaster Univ. UK Slide 38 Bennetts Associates,2004 Page 19

20 2004 Southwest DFT Symposium AMS DFT: Conclusions AMS DFT has suffered because there is no simple fault model equivalent to the stuck-at model in digital DFT but is finally receiving attention AMS DFT cost justification is even more difficult than digital DFT: lack of metrics We now have low-cost PC-based tester solutions for digital DFT-enabled devices, but not yet for analog DFT-enabled devices As in any BIST environment, key questions are: Is Is there Who tests the tester? any any hope? If the tester fails, is the chip bad? Slide 39 Question 6 Will AMS BIST ever achieve the commercial status of digital BIST? Slide 40 Bennetts Associates,2004 Page 20

21 2004 Southwest DFT Symposium Summary Will Will we we ever ever figure figure out out the the right right balance balance of of structural structural and and functional functional tests? tests? If If the the chip chip does does what what it s it s supposed supposed to to do, do, do do we we care care about about non-functional non-functional defects? defects? Who Who will will be be the the first first to to (a) (a) support support IEEE IEEE and and (b) (b) implement implement IEEE IEEE 1500? 1500? And And there s there s more more but but I I only only had had minutes!! minutes!! Thanks Thanks Is Is it it time time to to nail nail the the definitions definitions of of protocols protocols to to support support at-speed at-speed tests tests through through scan scan chain? chain? Is Is testable testable asynchronous asynchronous logic logic an an unattainable unattainable goal? goal? Will Will AMS AMS BIST BIST ever ever achieve achieve the the commercial commercial status status of of digital digital BIST? BIST? Slide 41 Bennetts Associates,2004 Page 21

for Digital IC's Design-for-Test and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ

for Digital IC's Design-for-Test and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ Design-for-Test for Digital IC's and Embedded Core Systems Alfred L. Crouch Prentice Hall PTR Upper Saddle River, NJ 07458 www.phptr.com ISBN D-13-DflMfla7-l : Ml H Contents Preface Acknowledgments Introduction

More information

Simulation Mismatches Can Foul Up Test-Pattern Verification

Simulation Mismatches Can Foul Up Test-Pattern Verification 1 of 5 12/17/2009 2:59 PM Technologies Design Hotspots Resources Shows Magazine ebooks & Whitepapers Jobs More... Click to view this week's ad screen [ D e s i g n V i e w / D e s i g n S o lu ti o n ]

More information

Scan. This is a sample of the first 15 pages of the Scan chapter.

Scan. This is a sample of the first 15 pages of the Scan chapter. Scan This is a sample of the first 15 pages of the Scan chapter. Note: The book is NOT Pinted in color. Objectives: This section provides: An overview of Scan An introduction to Test Sequences and Test

More information

K.T. Tim Cheng 07_dft, v Testability

K.T. Tim Cheng 07_dft, v Testability K.T. Tim Cheng 07_dft, v1.0 1 Testability Is concept that deals with costs associated with testing. Increase testability of a circuit Some test cost is being reduced Test application time Test generation

More information

Design for Testability

Design for Testability TDTS 01 Lecture 9 Design for Testability Zebo Peng Embedded Systems Laboratory IDA, Linköping University Lecture 9 The test problems Fault modeling Design for testability techniques Zebo Peng, IDA, LiTH

More information

Lecture 23 Design for Testability (DFT): Full-Scan

Lecture 23 Design for Testability (DFT): Full-Scan Lecture 23 Design for Testability (DFT): Full-Scan (Lecture 19alt in the Alternative Sequence) Definition Ad-hoc methods Scan design Design rules Scan register Scan flip-flops Scan test sequences Overheads

More information

Analog Performance-based Self-Test Approaches for Mixed-Signal Circuits

Analog Performance-based Self-Test Approaches for Mixed-Signal Circuits Analog Performance-based Self-Test Approaches for Mixed-Signal Circuits Tutorial, September 1, 2015 Byoungho Kim, Ph.D. Division of Electrical Engineering Hanyang University Outline State of the Art for

More information

Using on-chip Test Pattern Compression for Full Scan SoC Designs

Using on-chip Test Pattern Compression for Full Scan SoC Designs Using on-chip Test Pattern Compression for Full Scan SoC Designs Helmut Lang Senior Staff Engineer Jens Pfeiffer CAD Engineer Jeff Maguire Principal Staff Engineer Motorola SPS, System-on-a-Chip Design

More information

CPE 628 Chapter 5 Logic Built-In Self-Test. Dr. Rhonda Kay Gaede UAH. UAH Chapter Introduction

CPE 628 Chapter 5 Logic Built-In Self-Test. Dr. Rhonda Kay Gaede UAH. UAH Chapter Introduction Chapter 5 Logic Built-In Self-Test Dr. Rhonda Kay Gaede UAH 1 5.1 Introduction Introduce the basic concepts of BIST BIST Rules Test pattern generation and output techniques Fault Coverage Various BIST

More information

Instructions. Final Exam CPSC/ELEN 680 December 12, Name: UIN:

Instructions. Final Exam CPSC/ELEN 680 December 12, Name: UIN: Final Exam CPSC/ELEN 680 December 12, 2005 Name: UIN: Instructions This exam is closed book. Provide brief but complete answers to the following questions in the space provided, using figures as necessary.

More information

Lecture 23 Design for Testability (DFT): Full-Scan (chapter14)

Lecture 23 Design for Testability (DFT): Full-Scan (chapter14) Lecture 23 Design for Testability (DFT): Full-Scan (chapter14) Definition Ad-hoc methods Scan design Design rules Scan register Scan flip-flops Scan test sequences Overheads Scan design system Summary

More information

Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory. National Central University

Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory. National Central University Chapter 3 Basics of VLSI Testing (2) Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory Department of Electrical Engineering National Central University Jhongli, Taiwan Outline Testing Process Fault

More information

Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test

Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test Lecture 17: Introduction to Design For Testability (DFT) & Manufacturing Test Mark McDermott Electrical and Computer Engineering The University of Texas at Austin Agenda Introduction to testing Logical

More information

Final Exam CPSC/ECEN 680 May 2, Name: UIN:

Final Exam CPSC/ECEN 680 May 2, Name: UIN: Final Exam CPSC/ECEN 680 May 2, 2008 Name: UIN: Instructions This exam is closed book. Provide brief but complete answers to the following questions in the space provided, using figures as necessary. Show

More information

VLSI System Testing. BIST Motivation

VLSI System Testing. BIST Motivation ECE 538 VLSI System Testing Krish Chakrabarty Built-In Self-Test (BIST): ECE 538 Krish Chakrabarty BIST Motivation Useful for field test and diagnosis (less expensive than a local automatic test equipment)

More information

Logic Design for On-Chip Test Clock Generation- Implementation Details and Impact on Delay Test Quality

Logic Design for On-Chip Test Clock Generation- Implementation Details and Impact on Delay Test Quality Logic Design for On-Chip Test Clock Generation- mplementation Details and mpact on Delay Test Quality Beck, Olivier Barondeau, Martin Kaibel, Frank Poehl Technologies AG 73 81541Munich, Germany Xijiang

More information

New Directions in Manufacturing Test

New Directions in Manufacturing Test New Directions in Manufacturing Test Jacob A. Abraham Computer Engineering Research Center The University of Texas at Austin Shanghai Jiao Tong University July 19, 2005 July 19, 2005 1 Research Areas Manufacturing

More information

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Final Examination CLOSED BOOK

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Final Examination CLOSED BOOK Department of Electrical and Computer Engineering University of Wisconsin Madison Fall 2014-2015 Final Examination CLOSED BOOK Kewal K. Saluja Date: December 14, 2014 Place: Room 3418 Engineering Hall

More information

TKK S ASIC-PIIRIEN SUUNNITTELU

TKK S ASIC-PIIRIEN SUUNNITTELU Design TKK S-88.134 ASIC-PIIRIEN SUUNNITTELU Design Flow 3.2.2005 RTL Design 10.2.2005 Implementation 7.4.2005 Contents 1. Terminology 2. RTL to Parts flow 3. Logic synthesis 4. Static Timing Analysis

More information

Overview: Logic BIST

Overview: Logic BIST VLSI Design Verification and Testing Built-In Self-Test (BIST) - 2 Mohammad Tehranipoor Electrical and Computer Engineering University of Connecticut 23 April 2007 1 Overview: Logic BIST Motivation Built-in

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET476) Lecture 9 (2) Built-In-Self Test (Chapter 5) Said Hamdioui Computer Engineering Lab Delft University of Technology 29-2 Learning aims Describe the concept and

More information

Testing Digital Systems II

Testing Digital Systems II Testing Digital Systems II Lecture 2: Design for Testability (I) structor: M. Tahoori Copyright 2010, M. Tahoori TDS II: Lecture 2 1 History During early years, design and test were separate The final

More information

System IC Design: Timing Issues and DFT. Hung-Chih Chiang

System IC Design: Timing Issues and DFT. Hung-Chih Chiang Wireless Information Transmission System Lab. System IC esign: Timing Issues and FT Hung-Chih Chiang Institute of Communications Engineering National Sun Yat-sen University SoC Timing Issues Outline Timing

More information

Chapter 8 Design for Testability

Chapter 8 Design for Testability 電機系 Chapter 8 Design for Testability 測試導向設計技術 2 Outline Introduction Ad-Hoc Approaches Full Scan Partial Scan 3 Design For Testability Definition Design For Testability (DFT) refers to those design techniques

More information

Logic Design for Single On-Chip Test Clock Generation for N Clock Domain - Impact on SOC Area and Test Quality

Logic Design for Single On-Chip Test Clock Generation for N Clock Domain - Impact on SOC Area and Test Quality and Communication Technology (IJRECT 6) Vol. 3, Issue 3 July - Sept. 6 ISSN : 38-965 (Online) ISSN : 39-33 (Print) Logic Design for Single On-Chip Test Clock Generation for N Clock Domain - Impact on SOC

More information

[Krishna*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785

[Krishna*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY DESIGN AND IMPLEMENTATION OF BIST TECHNIQUE IN UART SERIAL COMMUNICATION M.Hari Krishna*, P.Pavan Kumar * Electronics and Communication

More information

A Briefing on IEEE Standard Test Access Port And Boundary-Scan Architecture ( AKA JTAG )

A Briefing on IEEE Standard Test Access Port And Boundary-Scan Architecture ( AKA JTAG ) A Briefing on IEEE 1149.1 1990 Standard Test Access Port And Boundary-Scan Architecture ( AKA JTAG ) Summary With the advent of large Ball Grid Array (BGA) and fine pitch SMD semiconductor devices the

More information

Unit V Design for Testability

Unit V Design for Testability Unit V Design for Testability Outline Testing Logic Verification Silicon Debug Manufacturing Test Fault Models Observability and Controllability Design for Test Scan BIST Boundary Scan Slide 2 Testing

More information

Unit 8: Testability. Prof. Roopa Kulkarni, GIT, Belgaum. 29

Unit 8: Testability. Prof. Roopa Kulkarni, GIT, Belgaum. 29 Unit 8: Testability Objective: At the end of this unit we will be able to understand Design for testability (DFT) DFT methods for digital circuits: Ad-hoc methods Structured methods: Scan Level Sensitive

More information

Testability: Lecture 23 Design for Testability (DFT) Slide 1 of 43

Testability: Lecture 23 Design for Testability (DFT) Slide 1 of 43 Testability: Lecture 23 Design for Testability (DFT) Shaahin hi Hessabi Department of Computer Engineering Sharif University of Technology Adapted, with modifications, from lecture notes prepared p by

More information

At-speed Testing of SOC ICs

At-speed Testing of SOC ICs At-speed Testing of SOC ICs Vlado Vorisek, Thomas Koch, Hermann Fischer Multimedia Design Center, Semiconductor Products Sector Motorola Munich, Germany Abstract This paper discusses the aspects and associated

More information

CMOS Testing-2. Design for testability (DFT) Design and Test Flow: Old View Test was merely an afterthought. Specification. Design errors.

CMOS Testing-2. Design for testability (DFT) Design and Test Flow: Old View Test was merely an afterthought. Specification. Design errors. Design and test CMOS Testing- Design for testability (DFT) Scan design Built-in self-test IDDQ testing ECE 261 Krish Chakrabarty 1 Design and Test Flow: Old View Test was merely an afterthought Specification

More information

Testing Sequential Logic. CPE/EE 428/528 VLSI Design II Intro to Testing (Part 2) Testing Sequential Logic (cont d) Testing Sequential Logic (cont d)

Testing Sequential Logic. CPE/EE 428/528 VLSI Design II Intro to Testing (Part 2) Testing Sequential Logic (cont d) Testing Sequential Logic (cont d) Testing Sequential Logic CPE/EE 428/528 VLSI Design II Intro to Testing (Part 2) Electrical and Computer Engineering University of Alabama in Huntsville In general, much more difficult than testing combinational

More information

High-Frequency, At-Speed Scan Testing

High-Frequency, At-Speed Scan Testing High-Frequency, At-Speed Scan Testing Xijiang Lin, Ron Press, Janusz Rajski, Paul Reuter, Thomas Rinderknecht, Bruce Swanson, and Nagesh Tamarapalli Mentor Graphics Editor s note: At-speed scan testing

More information

Introduction to JTAG / boundary scan-based testing for 3D integrated systems. (C) GOEPEL Electronics -

Introduction to JTAG / boundary scan-based testing for 3D integrated systems. (C) GOEPEL Electronics - Introduction to JTAG / boundary scan-based testing for 3D integrated systems (C) 2011 - GOEPEL Electronics - www.goepelusa.com Who is GOEPEL? World Headquarters: GÖPEL electronic GmbH Göschwitzer Straße

More information

System IC Design: Timing Issues and DFT. Hung-Chih Chiang

System IC Design: Timing Issues and DFT. Hung-Chih Chiang System IC esign: Timing Issues and FT Hung-Chih Chiang Outline SoC Timing Issues Timing terminologies Synchronous vs. asynchronous design Interfaces and timing closure Clocking issues Reset esign for Testability

More information

ADC Peripheral in Microcontrollers. Petr Cesak, Jan Fischer, Jaroslav Roztocil

ADC Peripheral in Microcontrollers. Petr Cesak, Jan Fischer, Jaroslav Roztocil ADC Peripheral in s Petr Cesak, Jan Fischer, Jaroslav Roztocil Czech Technical University in Prague, Faculty of Electrical Engineering Technicka 2, CZ-16627 Prague 6, Czech Republic Phone: +420-224 352

More information

UNIT IV CMOS TESTING. EC2354_Unit IV 1

UNIT IV CMOS TESTING. EC2354_Unit IV 1 UNIT IV CMOS TESTING EC2354_Unit IV 1 Outline Testing Logic Verification Silicon Debug Manufacturing Test Fault Models Observability and Controllability Design for Test Scan BIST Boundary Scan EC2354_Unit

More information

ECE 715 System on Chip Design and Test. Lecture 22

ECE 715 System on Chip Design and Test. Lecture 22 ECE 75 System on Chip Design and Test Lecture 22 Response Compaction Severe amounts of data in CUT response to LFSR patterns example: Generate 5 million random patterns CUT has 2 outputs Leads to: 5 million

More information

Combinational vs Sequential

Combinational vs Sequential Combinational vs Sequential inputs X Combinational Circuits outputs Z A combinational circuit: At any time, outputs depends only on inputs Changing inputs changes outputs No regard for previous inputs

More information

MULTI-CYCLE AT SPEED TEST. A Thesis MALLIKA SHREE POKHAREL

MULTI-CYCLE AT SPEED TEST. A Thesis MALLIKA SHREE POKHAREL MULTI-CYCLE AT SPEED TEST A Thesis by MALLIKA SHREE POKHAREL Submitted to the Office of Graduate and Professional Studies of Texas A&M University in partial fulfillment of the requirements for the degree

More information

Digital Circuits I and II Nov. 17, 1999

Digital Circuits I and II Nov. 17, 1999 Physics 623 Digital Circuits I and II Nov. 17, 1999 Digital Circuits I 1 Purpose To introduce the basic principles of digital circuitry. To understand the small signal response of various gates and circuits

More information

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN

International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September ISSN International Journal of Scientific & Engineering Research, Volume 5, Issue 9, September-2014 917 The Power Optimization of Linear Feedback Shift Register Using Fault Coverage Circuits K.YARRAYYA1, K CHITAMBARA

More information

Advanced Devices. Registers Counters Multiplexers Decoders Adders. CSC258 Lecture Slides Steve Engels, 2006 Slide 1 of 20

Advanced Devices. Registers Counters Multiplexers Decoders Adders. CSC258 Lecture Slides Steve Engels, 2006 Slide 1 of 20 Advanced Devices Using a combination of gates and flip-flops, we can construct more sophisticated logical devices. These devices, while more complex, are still considered fundamental to basic logic design.

More information

Design for Test. Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective.

Design for Test. Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective. Design for Test Definition: Design for test (DFT) refers to those design techniques that make test generation and test application cost-effective. Types: Design for Testability Enhanced access Built-In

More information

Digital Integrated Circuits Lecture 19: Design for Testability

Digital Integrated Circuits Lecture 19: Design for Testability Digital Integrated Circuits Lecture 19: Design for Testability Chih-Wei Liu VLSI Signal Processing LAB National Chiao Tung University cwliu@twins.ee.nctu.edu.tw DIC-Lec19 cwliu@twins.ee.nctu.edu.tw 1 Outline

More information

Future of Analog Design and Upcoming Challenges in Nanometer CMOS

Future of Analog Design and Upcoming Challenges in Nanometer CMOS Future of Analog Design and Upcoming Challenges in Nanometer CMOS Greg Taylor VLSI Design 2010 Outline Introduction Logic processing trends Analog design trends Analog design challenge Approaches Conclusion

More information

Testing Sequential Circuits

Testing Sequential Circuits Testing Sequential Circuits 9/25/ Testing Sequential Circuits Test for Functionality Timing (components too slow, too fast, not synchronized) Parts: Combinational logic: faults: stuck /, delay Flip-flops:

More information

Interfacing Analog to Digital Data Converters. A/D D/A Converter 1

Interfacing Analog to Digital Data Converters. A/D D/A Converter 1 Interfacing Analog to Digital Data Converters A/D D/A Converter 1 In most of the cases, the PPI 8255 is used for interfacing the analog to digital converters with microprocessor. The analog to digital

More information

EE273 Lecture 11 Pipelined Timing Closed-Loop Timing November 2, Today s Assignment

EE273 Lecture 11 Pipelined Timing Closed-Loop Timing November 2, Today s Assignment EE273 Lecture 11 Pipelined Timing Closed-Loop Timing November 2, 1998 William J. ally Computer Systems Laboratory Stanford University billd@csl.stanford.edu Copyright (C) by William J. ally, All Rights

More information

Chapter 6. sequential logic design. This is the beginning of the second part of this course, sequential logic.

Chapter 6. sequential logic design. This is the beginning of the second part of this course, sequential logic. Chapter 6. sequential logic design This is the beginning of the second part of this course, sequential logic. equential logic equential circuits simple circuits with feedback latches edge-triggered flip-flops

More information

Design of Fault Coverage Test Pattern Generator Using LFSR

Design of Fault Coverage Test Pattern Generator Using LFSR Design of Fault Coverage Test Pattern Generator Using LFSR B.Saritha M.Tech Student, Department of ECE, Dhruva Institue of Engineering & Technology. Abstract: A new fault coverage test pattern generator

More information

Diagnosis of Resistive open Fault using Scan Based Techniques

Diagnosis of Resistive open Fault using Scan Based Techniques Diagnosis of Resistive open Fault using Scan Based Techniques 1 Mr. A. Muthu Krishnan. M.E., (Ph.D), 2. G. Chandra Theepa Assistant Professor 1, PG Scholar 2,Dept. of ECE, Regional Office, Anna University,

More information

A New Approach to Design Fault Coverage Circuit with Efficient Hardware Utilization for Testing Applications

A New Approach to Design Fault Coverage Circuit with Efficient Hardware Utilization for Testing Applications A New Approach to Design Fault Coverage Circuit with Efficient Hardware Utilization for Testing Applications S. Krishna Chaitanya Department of Electronics & Communication Engineering, Hyderabad Institute

More information

Logic and Computer Design Fundamentals. Chapter 7. Registers and Counters

Logic and Computer Design Fundamentals. Chapter 7. Registers and Counters Logic and Computer Design Fundamentals Chapter 7 Registers and Counters Registers Register a collection of binary storage elements In theory, a register is sequential logic which can be defined by a state

More information

At-speed testing made easy

At-speed testing made easy At-speed testing made easy By Bruce Swanson and Michelle Lange, EEdesign.com Jun 03, 2004 (5:00 PM EDT) URL: http://www.eedesign.com/article/showarticle.jhtml?articleid=21401421 Today's chip designs are

More information

Page 1 of 6 Follow these guidelines to design testable ASICs, boards, and systems. (includes related article on automatic testpattern generation basics) (Tutorial) From: EDN Date: August 19, 1993 Author:

More information

Logic Design II (17.342) Spring Lecture Outline

Logic Design II (17.342) Spring Lecture Outline Logic Design II (17.342) Spring 2012 Lecture Outline Class # 03 February 09, 2012 Dohn Bowden 1 Today s Lecture Registers and Counters Chapter 12 2 Course Admin 3 Administrative Admin for tonight Syllabus

More information

Circular BIST testing the digital logic within a high speed Serdes

Circular BIST testing the digital logic within a high speed Serdes Circular BIST testing the digital logic within a high speed Serdes Graham Hetherington and Richard Simpson Texas Instruments Ltd 800 Pavilion Drive Northampton, UK NN4 7YL Abstract High Speed Serializer

More information

Slide Set 14. Design for Testability

Slide Set 14. Design for Testability Slide Set 14 Design for Testability Steve Wilton Dept. of ECE University of British Columbia stevew@ece.ubc.ca Slide Set 14, Page 1 Overview Wolf 4.8, 5.6, 5.7, 8.7 Up to this point in the class, we have

More information

EITF35: Introduction to Structured VLSI Design

EITF35: Introduction to Structured VLSI Design EITF35: Introduction to Structured VLSI Design Part 4.2.1: Learn More Liang Liu liang.liu@eit.lth.se 1 Outline Crossing clock domain Reset, synchronous or asynchronous? 2 Why two DFFs? 3 Crossing clock

More information

Logic Analysis Basics

Logic Analysis Basics Logic Analysis Basics September 27, 2006 presented by: Alex Dickson Copyright 2003 Agilent Technologies, Inc. Introduction If you have ever asked yourself these questions: What is a logic analyzer? What

More information

Logic Analysis Basics

Logic Analysis Basics Logic Analysis Basics September 27, 2006 presented by: Alex Dickson Copyright 2003 Agilent Technologies, Inc. Introduction If you have ever asked yourself these questions: What is a logic analyzer? What

More information

Design of BIST with Low Power Test Pattern Generator

Design of BIST with Low Power Test Pattern Generator IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 4, Issue 5, Ver. II (Sep-Oct. 2014), PP 30-39 e-issn: 2319 4200, p-issn No. : 2319 4197 Design of BIST with Low Power Test Pattern Generator

More information

Based on slides/material by. Topic 14. Testing. Testing. Logic Verification. Recommended Reading:

Based on slides/material by. Topic 14. Testing. Testing. Logic Verification. Recommended Reading: Based on slides/material by Topic 4 Testing Peter Y. K. Cheung Department of Electrical & Electronic Engineering Imperial College London!! K. Masselos http://cas.ee.ic.ac.uk/~kostas!! J. Rabaey http://bwrc.eecs.berkeley.edu/classes/icbook/instructors.html

More information

Lecture 18 Design For Test (DFT)

Lecture 18 Design For Test (DFT) Lecture 18 Design For Test (DFT) Xuan Silvia Zhang Washington University in St. Louis http://classes.engineering.wustl.edu/ese461/ ASIC Test Two Stages Wafer test, one die at a time, using probe card production

More information

PICOSECOND TIMING USING FAST ANALOG SAMPLING

PICOSECOND TIMING USING FAST ANALOG SAMPLING PICOSECOND TIMING USING FAST ANALOG SAMPLING H. Frisch, J-F Genat, F. Tang, EFI Chicago, Tuesday 6 th Nov 2007 INTRODUCTION In the context of picosecond timing, analog detector pulse sampling in the 10

More information

Delta-Sigma ADC

Delta-Sigma ADC http://www.allaboutcircuits.com/vol_4/chpt_13/9.html Delta-Sigma ADC One of the more advanced ADC technologies is the so-called delta-sigma, or Σ (using the proper Greek letter notation). In mathematics

More information

hochschule fu r angewandte wissenschaften hamburg Prof. Dr. B. Schwarz FB Elektrotechnik/Informatik

hochschule fu r angewandte wissenschaften hamburg Prof. Dr. B. Schwarz FB Elektrotechnik/Informatik 8 Shift Registers A Johnson counter contains the basic structure of a shift register which is made up by a chain of D- FFs. Beginning with the LSB of a register (a number of D-FFs) each D-FF output can

More information

Module 8. Testing of Embedded System. Version 2 EE IIT, Kharagpur 1

Module 8. Testing of Embedded System. Version 2 EE IIT, Kharagpur 1 Module 8 Testing of Embedded System Version 2 EE IIT, Kharagpur 1 Lesson 39 Design for Testability Version 2 EE IIT, Kharagpur 2 Instructional Objectives After going through this lesson the student would

More information

A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY

A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY A NOVEL DESIGN OF COUNTER USING TSPC D FLIP-FLOP FOR HIGH PERFORMANCE AND LOW POWER VLSI DESIGN APPLICATIONS USING 45NM CMOS TECHNOLOGY Ms. Chaitali V. Matey 1, Ms. Shraddha K. Mendhe 2, Mr. Sandip A.

More information

Clock Control Architecture and ATPG for Reducing Pattern Count in SoC Designs with Multiple Clock Domains

Clock Control Architecture and ATPG for Reducing Pattern Count in SoC Designs with Multiple Clock Domains Clock Control Architecture and ATPG for Reducing Pattern Count in SoC Designs with Multiple Clock Domains Tom Waayers Richard Morren Xijiang Lin Mark Kassab NXP semiconductors High Tech Campus 46 5656

More information

CSE 352 Laboratory Assignment 3

CSE 352 Laboratory Assignment 3 CSE 352 Laboratory Assignment 3 Introduction to Registers The objective of this lab is to introduce you to edge-trigged D-type flip-flops as well as linear feedback shift registers. Chapter 3 of the Harris&Harris

More information

Design and Implementation OF Logic-BIST Architecture for I2C Slave VLSI ASIC Design Using Verilog

Design and Implementation OF Logic-BIST Architecture for I2C Slave VLSI ASIC Design Using Verilog Design and Implementation OF Logic-BIST Architecture for I2C Slave VLSI ASIC Design Using Verilog 1 Manish J Patel, 2 Nehal Parmar, 3 Vishwas Chaudhari 1, 2, 3 PG Students (VLSI & ESD) Gujarat Technological

More information

2.6 Reset Design Strategy

2.6 Reset Design Strategy 2.6 Reset esign Strategy Many design issues must be considered before choosing a reset strategy for an ASIC design, such as whether to use synchronous or asynchronous resets, will every flipflop receive

More information

Based on slides/material by. Topic Testing. Logic Verification. Testing

Based on slides/material by. Topic Testing. Logic Verification. Testing Based on slides/material by Topic 4 K. Masselos http://cas.ee.ic.ac.uk/~kostas J. Rabaey http://bwrc.eecs.berkeley.edu/classes/icbook/instructors.html igital Integrated Circuits: A esign Perspective, Prentice

More information

Implementation of UART with BIST Technique

Implementation of UART with BIST Technique Implementation of UART with BIST Technique Mr.S.N.Shettennavar 1, Mr.B.N.Sachidanand 2, Mr.D.K.Gupta 3, Mr.V.M.Metigoudar 4 1, 2, 3,4Assistant Professor, Dept. of Electronics Engineering, DKTE s Textile

More information

Clocking Spring /18/05

Clocking Spring /18/05 ing L06 s 1 Why s and Storage Elements? Inputs Combinational Logic Outputs Want to reuse combinational logic from cycle to cycle L06 s 2 igital Systems Timing Conventions All digital systems need a convention

More information

Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques

Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques Design of Test Circuits for Maximum Fault Coverage by Using Different Techniques Akkala Suvarna Ratna M.Tech (VLSI & ES), Department of ECE, Sri Vani School of Engineering, Vijayawada. Abstract: A new

More information

Chapter 5 Flip-Flops and Related Devices

Chapter 5 Flip-Flops and Related Devices Chapter 5 Flip-Flops and Related Devices Chapter 5 Objectives Selected areas covered in this chapter: Constructing/analyzing operation of latch flip-flops made from NAND or NOR gates. Differences of synchronous/asynchronous

More information

Clock Gate Test Points

Clock Gate Test Points Clock Gate Test Points Narendra Devta-Prasanna and Arun Gunda LSI Corporation 5 McCarthy Blvd. Milpitas CA 9535, USA {narendra.devta-prasanna, arun.gunda}@lsi.com Abstract Clock gating is widely used in

More information

Design of BIST Enabled UART with MISR

Design of BIST Enabled UART with MISR International Journal of Emerging Engineering Research and Technology Volume 3, Issue 8, August 2015, PP 85-89 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) ABSTRACT Design of BIST Enabled UART with

More information

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL

Random Access Scan. Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL Random Access Scan Veeraraghavan Ramamurthy Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL ramamve@auburn.edu Term Paper for ELEC 7250 (Spring 2005) Abstract: Random Access

More information

FLIP-FLOPS AND RELATED DEVICES

FLIP-FLOPS AND RELATED DEVICES C H A P T E R 5 FLIP-FLOPS AND RELATED DEVICES OUTLINE 5- NAND Gate Latch 5-2 NOR Gate Latch 5-3 Troubleshooting Case Study 5-4 Digital Pulses 5-5 Clock Signals and Clocked Flip-Flops 5-6 Clocked S-R Flip-Flop

More information

Logic BIST for Large Industrial Designs: Real Issues and Case Studies

Logic BIST for Large Industrial Designs: Real Issues and Case Studies Logic BIST for Large Industrial Designs: Real Issues and Case Studies Graham Hetherington and Tony Fryars Nagesh Tamarapalli, Mark Kassab, Abu Hassan, and Janusz Rajski Texas Instruments, Ltd. Mentor Graphics

More information

Introduction. NAND Gate Latch. Digital Logic Design 1 FLIP-FLOP. Digital Logic Design 1

Introduction. NAND Gate Latch.  Digital Logic Design 1 FLIP-FLOP. Digital Logic Design 1 2007 Introduction BK TP.HCM FLIP-FLOP So far we have seen Combinational Logic The output(s) depends only on the current values of the input variables Here we will look at Sequential Logic circuits The

More information

Experiment 8 Introduction to Latches and Flip-Flops and registers

Experiment 8 Introduction to Latches and Flip-Flops and registers Experiment 8 Introduction to Latches and Flip-Flops and registers Introduction: The logic circuits that have been used until now were combinational logic circuits since the output of the device depends

More information

Figure 1 shows a simple implementation of a clock switch, using an AND-OR type multiplexer logic.

Figure 1 shows a simple implementation of a clock switch, using an AND-OR type multiplexer logic. 1. CLOCK MUXING: With more and more multi-frequency clocks being used in today's chips, especially in the communications field, it is often necessary to switch the source of a clock line while the chip

More information

Chapter 2. Digital Circuits

Chapter 2. Digital Circuits Chapter 2. Digital Circuits Logic gates Flip-flops FF registers IC registers Data bus Encoders/Decoders Multiplexers Troubleshooting digital circuits Most contents of this chapter were covered in 88-217

More information

Name: Date: Suggested Reading Chapter 7, Digital Systems, Principals and Applications; Tocci

Name: Date: Suggested Reading Chapter 7, Digital Systems, Principals and Applications; Tocci Richland College Engineering Technology Rev. 0 B. Donham Rev. 1 (7/2003) J. Horne Rev. 2 (1/2008) J. Bradbury Digital Fundamentals CETT 1425 Lab 7 Asynchronous Ripple Counters Name: Date: Objectives: To

More information

SIC Vector Generation Using Test per Clock and Test per Scan

SIC Vector Generation Using Test per Clock and Test per Scan International Journal of Emerging Engineering Research and Technology Volume 2, Issue 8, November 2014, PP 84-89 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) SIC Vector Generation Using Test per Clock

More information

Dr. Shahram Shirani COE2DI4 Midterm Test #2 Nov 19, 2008

Dr. Shahram Shirani COE2DI4 Midterm Test #2 Nov 19, 2008 Page 1 Dr. Shahram Shirani COE2DI4 Midterm Test #2 Nov 19, 2008 Instructions: This examination paper includes 13 pages and 20 multiple-choice questions starting on page 3. You are responsible for ensuring

More information

Chapter 3 Unit Combinational

Chapter 3 Unit Combinational EE 200: Digital Logic Circuit Design Dr Radwan E Abdel-Aal, COE Logic and Computer Design Fundamentals Chapter 3 Unit Combinational 5 Registers Logic and Design Counters Part Implementation Technology

More information

EET2411 DIGITAL ELECTRONICS

EET2411 DIGITAL ELECTRONICS 5-8 Clocked D Flip-FlopFlop One data input. The output changes to the value of the input at either the positive going or negative going clock trigger. May be implemented with a J-K FF by tying the J input

More information

CHAPTER 6 COUNTERS & REGISTERS

CHAPTER 6 COUNTERS & REGISTERS CHAPTER 6 COUNTERS & REGISTERS 6.1 Asynchronous Counter 6.2 Synchronous Counter 6.3 State Machine 6.4 Basic Shift Register 6.5 Serial In/Serial Out Shift Register 6.6 Serial In/Parallel Out Shift Register

More information

Asynchronous (Ripple) Counters

Asynchronous (Ripple) Counters Circuits for counting events are frequently used in computers and other digital systems. Since a counter circuit must remember its past states, it has to possess memory. The chapter about flip-flops introduced

More information

More on Flip-Flops Digital Design and Computer Architecture: ARM Edition 2015 Chapter 3 <98> 98

More on Flip-Flops Digital Design and Computer Architecture: ARM Edition 2015 Chapter 3 <98> 98 More on Flip-Flops Digital Design and Computer Architecture: ARM Edition 2015 Chapter 3 98 Review: Bit Storage SR latch S (set) Q R (reset) Level-sensitive SR latch S S1 C R R1 Q D C S R D latch Q

More information

ECE 545 Digital System Design with VHDL Lecture 1B. Digital Logic Refresher Part B Sequential Logic Building Blocks

ECE 545 Digital System Design with VHDL Lecture 1B. Digital Logic Refresher Part B Sequential Logic Building Blocks ECE 545 igital System esign with VHL Lecture B igital Logic Refresher Part B Sequential Logic Building Blocks Lecture Roadmap Sequential Logic Sequential Logic Building Blocks Flip-Flops, Latches Registers,

More information

Flip-Flops and Related Devices. Wen-Hung Liao, Ph.D. 4/11/2001

Flip-Flops and Related Devices. Wen-Hung Liao, Ph.D. 4/11/2001 Flip-Flops and Related Devices Wen-Hung Liao, Ph.D. 4/11/2001 Objectives Recognize the various IEEE/ANSI flip-flop symbols. Use state transition diagrams to describe counter operation. Use flip-flops in

More information

Powerful Software Tools and Methods to Accelerate Test Program Development A Test Systems Strategies, Inc. (TSSI) White Paper.

Powerful Software Tools and Methods to Accelerate Test Program Development A Test Systems Strategies, Inc. (TSSI) White Paper. Powerful Software Tools and Methods to Accelerate Test Program Development A Test Systems Strategies, Inc. (TSSI) White Paper Abstract Test costs have now risen to as much as 50 percent of the total manufacturing

More information